w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_w11a_n4d.vhd
Go to the documentation of this file.
1-- $Id: sys_w11a_n4d.vhd 1325 2022-12-07 11:52:36Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2019-2022 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: sys_w11a_n4d - syn
7-- Description: w11a design for nexys4 DDR (with dram via mig)
8--
9-- Dependencies: vlib/xlib/bufg_unisim
10-- bplib/bpgen/s7_cmt_1ce1ce
11-- cdclib/cdc_signal_s1_as
12-- bplib/bpgen/bp_rs232_4line_iob
13-- vlib/rlink/rlink_sp2c
14-- w11a/pdp11_sys70
15-- ibus/ibdr_maxisys
16-- bplib//nexys4d/sramif_mig_nexys4d
17-- bplib/fx2rlink/ioleds_sp1c
18-- w11a/pdp11_hio70
19-- bplib/bpgen/sn_humanio_rbus
20-- bplib/sysmon/sysmonx_rbus_base
21-- vlib/rbus/rbd_usracc
22-- vlib/rbus/rb_sres_or_4
23--
24-- Test bench: tb/tb_sys_w11a_n4d
25--
26-- Target Devices: generic
27-- Tool versions: viv 2017.2-2022.1; ghdl 0.34-2.0.0
28--
29-- Synthesized:
30-- Date Rev viv Target flop lutl lutm bram slic MHz
31-- 2022-12-06 1324 2022.1 xc7a100t-1 6852 8773 868 17.5 3240 80
32-- 2022-07-05 1247 2022.1 xc7a100t-1 6805 8961 869 17.5 3282 80
33-- 2019-08-10 1201 2019.1 xc7a100t-1 6850 10258 901 17.5 3563 80
34-- 2019-05-19 1150 2017.2 xc7a100t-1 6811 10322 901 17.5 3496 80 +dz11
35-- 2019-02-02 1108 2018.3 xc7a100t-1 6558 9537 814 17.0 3443 80
36-- 2019-02-02 1108 2017.2 xc7a100t-1 6538 9496 798 17.0 3308 80
37--
38-- Revision History:
39-- Date Rev Version Comment
40-- 2022-07-05 1247 1.1.1 use bufg_unisim
41-- 2019-08-10 1201 1.1 use 100 MHz MIG SYS_CLK
42-- 2019-01-02 1101 1.0 Initial version (derived from sys_w11a_n4 and arty)
43------------------------------------------------------------------------------
44--
45-- w11a test design for nexys4d
46-- w11a + rlink + serport
47--
48-- Usage of Nexys 4 DDR Switches, Buttons, LEDs
49--
50-- SWI(15:5): no function (only connected to sn_humanio_rbus)
51-- (5): select DSP(7:4) display
52-- 0 abclkdiv & abclkdiv_f
53-- 1 PC
54-- (4): select DSP(3:0) display
55-- 0 DISPREG
56-- 1 DR emulation
57-- (3): select LED display
58-- 0 overall status
59-- 1 DR emulation
60-- (2): unused-reserved (USB port select)
61-- (1): 1 enable XON
62-- (0): unused-reserved (serial port select)
63--
64-- LEDs if SWI(3) = 1
65-- (15:0) DR emulation; shows R0 during wait like 11/45+70
66--
67-- LEDs if SWI(3) = 0
68-- (7) MEM_ACT_W
69-- (6) MEM_ACT_R
70-- (5) cmdbusy (all rlink access, mostly rdma)
71-- (4:0) if cpugo=1 show cpu mode activity
72-- (4) kernel mode, pri>0
73-- (3) kernel mode, pri=0
74-- (2) kernel mode, wait
75-- (1) supervisor mode
76-- (0) user mode
77-- if cpugo=0 shows cpurust
78-- (4) '1'
79-- (3:0) cpurust code
80--
81-- DSP(7:4) shows abclkdiv & abclkdiv_f or PS, depending on SWI(5)
82-- DSP(3:0) shows DISPREG or DR emulation, depending on SWI(4)
83-- DP(3:0) shows IO activity
84-- (3) not SER_MONI.txok (shows tx back pressure)
85-- (2) SER_MONI.txact (shows tx activity)
86-- (1) not SER_MONI.rxok (shows rx back pressure)
87-- (0) SER_MONI.rxact (shows rx activity)
88--
89
90library ieee;
91use ieee.std_logic_1164.all;
92use ieee.numeric_std.all;
93
94use work.slvtypes.all;
95use work.xlib.all;
96use work.cdclib.all;
97use work.serportlib.all;
98use work.rblib.all;
99use work.rbdlib.all;
100use work.rlinklib.all;
101use work.bpgenlib.all;
102use work.bpgenrbuslib.all;
103use work.sysmonrbuslib.all;
104use work.miglib.all;
105use work.miglib_nexys4d.all;
106use work.iblib.all;
107use work.ibdlib.all;
108use work.pdp11.all;
109use work.sys_conf.all;
110
111-- ----------------------------------------------------------------------------
112
113entity sys_w11a_n4d is -- top level
114 -- implements nexys4d_dram_aif
115 port (
116 I_CLK100 : in slbit; -- 100 MHz clock
117 I_RXD : in slbit; -- receive data (board view)
118 O_TXD : out slbit; -- transmit data (board view)
119 O_RTS_N : out slbit; -- rx rts (board view; act.low)
120 I_CTS_N : in slbit; -- tx cts (board view; act.low)
121 I_SWI : in slv16; -- n4 switches
122 I_BTN : in slv5; -- n4 buttons
123 I_BTNRST_N : in slbit; -- n4 reset button
124 O_LED : out slv16; -- n4 leds
125 O_RGBLED0 : out slv3; -- n4 rgb-led 0
126 O_RGBLED1 : out slv3; -- n4 rgb-led 1
127 O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low)
128 O_SEG_N : out slv8; -- 7 segment disp: segments (act.low)
129 DDR2_DQ : inout slv16; -- dram: data in/out
130 DDR2_DQS_P : inout slv2; -- dram: data strobe (diff-p)
131 DDR2_DQS_N : inout slv2; -- dram: data strobe (diff-n)
132 DDR2_ADDR : out slv13; -- dram: address
133 DDR2_BA : out slv3; -- dram: bank address
134 DDR2_RAS_N : out slbit; -- dram: row addr strobe (act.low)
135 DDR2_CAS_N : out slbit; -- dram: column addr strobe (act.low)
136 DDR2_WE_N : out slbit; -- dram: write enable (act.low)
137 DDR2_CK_P : out slv1; -- dram: clock (diff-p)
138 DDR2_CK_N : out slv1; -- dram: clock (diff-n)
139 DDR2_CKE : out slv1; -- dram: clock enable
140 DDR2_CS_N : out slv1; -- dram: chip select (act.low)
141 DDR2_DM : out slv2; -- dram: data input mask
142 DDR2_ODT : out slv1 -- dram: on-die termination
143 );
144end sys_w11a_n4d;
145
146architecture syn of sys_w11a_n4d is
147
148 signal CLK100_BUF : slbit := '0';
149
150 signal CLK : slbit := '0';
151
152 signal RESET : slbit := '0';
153 signal CE_USEC : slbit := '0';
154 signal CE_MSEC : slbit := '0';
155
156 signal CLKS : slbit := '0';
157 signal CES_MSEC : slbit := '0';
158
159 signal CLKREF : slbit := '0';
160
161 signal LOCKED : slbit := '0'; -- raw LOCKED
162 signal LOCKED_CLK : slbit := '0'; -- sync'ed to CLK
163
164 signal GBL_RESET : slbit := '0';
165
166 signal RXD : slbit := '1';
167 signal TXD : slbit := '0';
168 signal RTS_N : slbit := '0';
169 signal CTS_N : slbit := '0';
170
171 signal RB_MREQ : rb_mreq_type := rb_mreq_init;
172 signal RB_SRES : rb_sres_type := rb_sres_init;
173 signal RB_SRES_CPU : rb_sres_type := rb_sres_init;
174 signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
175 signal RB_SRES_SYSMON : rb_sres_type := rb_sres_init;
176 signal RB_SRES_USRACC : rb_sres_type := rb_sres_init;
177
178 signal RB_LAM : slv16 := (others=>'0');
179 signal RB_STAT : slv4 := (others=>'0');
180
181 signal SER_MONI : serport_moni_type := serport_moni_init;
182
183 signal GRESET : slbit := '0'; -- general reset (from rbus)
184 signal CRESET : slbit := '0'; -- cpu reset (from cp)
185 signal BRESET : slbit := '0'; -- bus reset (from cp or cpu)
186 signal PERFEXT : slv8 := (others=>'0');
187
188 signal EI_PRI : slv3 := (others=>'0');
189 signal EI_VECT : slv9_2 := (others=>'0');
190 signal EI_ACKM : slbit := '0';
191
192 signal CP_STAT : cp_stat_type := cp_stat_init;
193 signal DM_STAT_EXP : dm_stat_exp_type := dm_stat_exp_init;
194
195 signal MEM_REQ : slbit := '0';
196 signal MEM_WE : slbit := '0';
197 signal MEM_BUSY : slbit := '0';
198 signal MEM_ACK_R : slbit := '0';
199 signal MEM_ACT_R : slbit := '0';
200 signal MEM_ACT_W : slbit := '0';
201 signal MEM_ADDR : slv20 := (others=>'0');
202 signal MEM_BE : slv4 := (others=>'0');
203 signal MEM_DI : slv32 := (others=>'0');
204 signal MEM_DO : slv32 := (others=>'0');
205
206 signal MIG_MONI : sramif2migui_moni_type := sramif2migui_moni_init;
207
208 signal XADC_TEMP : slv12 := (others=>'0'); -- xadc die temp; on CLK
209
210 signal IB_MREQ : ib_mreq_type := ib_mreq_init;
211 signal IB_SRES_IBDR : ib_sres_type := ib_sres_init;
212
213 signal DISPREG : slv16 := (others=>'0');
214 signal ABCLKDIV : slv16 := (others=>'0');
215
216 signal SWI : slv16 := (others=>'0');
217 signal BTN : slv5 := (others=>'0');
218 signal LED : slv16 := (others=>'0');
219 signal DSP_DAT : slv32 := (others=>'0');
220 signal DSP_DP : slv8 := (others=>'0');
221
222 constant rbaddr_rbmon : slv16 := x"ffe8"; -- ffe8/0008: 1111 1111 1110 1xxx
223 constant rbaddr_hio : slv16 := x"fef0"; -- fef0/0008: 1111 1110 1111 0xxx
224 constant rbaddr_sysmon: slv16 := x"fb00"; -- fb00/0080: 1111 1011 0xxx xxxx
225
226 constant sysid_proj : slv16 := x"0201"; -- w11a
227 constant sysid_board : slv8 := x"08"; -- nexys4d
228 constant sysid_vers : slv8 := x"00";
229
230begin
231
232 assert (sys_conf_clksys mod 1000000) = 0
233 report "assert sys_conf_clksys on MHz grid"
234 severity failure;
235
236 CLK100_BUFG: bufg_unisim
237 port map (
238 I => I_CLK100,
239 O => CLK100_BUF
240 );
241
242 GEN_CLKALL : s7_cmt_1ce1ce2c -- clock generator system ------------
243 generic map (
244 CLKIN_PERIOD => 10.0,
245 CLKIN_JITTER => 0.01,
246 STARTUP_WAIT => false,
247 CLK0_VCODIV => sys_conf_clksys_vcodivide,
248 CLK0_VCOMUL => sys_conf_clksys_vcomultiply,
249 CLK0_OUTDIV => sys_conf_clksys_outdivide,
250 CLK0_GENTYPE => sys_conf_clksys_gentype,
251 CLK0_CDUWIDTH => 7,
252 CLK0_USECDIV => sys_conf_clksys_mhz,
253 CLK0_MSECDIV => 1000,
254 CLK1_VCODIV => sys_conf_clkser_vcodivide,
255 CLK1_VCOMUL => sys_conf_clkser_vcomultiply,
256 CLK1_OUTDIV => sys_conf_clkser_outdivide,
257 CLK1_GENTYPE => sys_conf_clkser_gentype,
258 CLK1_CDUWIDTH => 7,
259 CLK1_USECDIV => sys_conf_clkser_mhz,
260 CLK1_MSECDIV => 1000,
261 CLK23_VCODIV => 1,
262 CLK23_VCOMUL => 12, -- vco 1000 MHz
263 CLK2_OUTDIV => 12, -- mig sys 100.0 MHz (unused)
264 CLK3_OUTDIV => 6, -- mig ref 200.0 MHz
265 CLK23_GENTYPE => "PLL")
266 port map (
267 CLKIN => CLK100_BUF,
268 CLK0 => CLK,
269 CE0_USEC => CE_USEC,
270 CE0_MSEC => CE_MSEC,
271 CLK1 => CLKS,
272 CE1_USEC => open,
273 CE1_MSEC => CES_MSEC,
274 CLK2 => open,
275 CLK3 => CLKREF,
276 LOCKED => LOCKED
277 );
278
279 CDC_CLK_LOCKED : cdc_signal_s1_as
280 port map (
281 CLKO => CLK,
282 DI => LOCKED,
283 DO => LOCKED_CLK
284 );
285
286 GBL_RESET <= not LOCKED_CLK;
287
288 IOB_RS232 : bp_rs232_4line_iob -- serport iob ----------------------
289 port map (
290 CLK => CLKS,
291 RXD => RXD,
292 TXD => TXD,
293 CTS_N => CTS_N,
294 RTS_N => RTS_N,
295 I_RXD => I_RXD,
296 O_TXD => O_TXD,
297 I_CTS_N => I_CTS_N,
298 O_RTS_N => O_RTS_N
299 );
300
301 RLINK : rlink_sp2c -- rlink for serport -----------------
302 generic map (
303 BTOWIDTH => 9, -- 512 cycles, for slow mem iface
304 RTAWIDTH => 12,
305 SYSID => sysid_proj & sysid_board & sysid_vers ,
306 IFAWIDTH => 5, -- 32 word input fifo
307 OFAWIDTH => 5, -- 32 word output fifo
308 ENAPIN_RLMON => sbcntl_sbf_rlmon,
309 ENAPIN_RBMON => sbcntl_sbf_rbmon,
310 CDWIDTH => 12,
311 CDINIT => sys_conf_ser2rri_cdinit,
312 RBMON_AWIDTH => sys_conf_rbmon_awidth,
313 RBMON_RBADDR => rbaddr_rbmon)
314 port map (
315 CLK => CLK,
316 CE_USEC => CE_USEC,
317 CE_MSEC => CE_MSEC,
318 CE_INT => CE_MSEC,
319 RESET => RESET,
320 CLKS => CLKS,
321 CES_MSEC => CES_MSEC,
322 ENAXON => SWI(1),
323 ESCFILL => '0',
324 RXSD => RXD,
325 TXSD => TXD,
326 CTS_N => CTS_N,
327 RTS_N => RTS_N,
328 RB_MREQ => RB_MREQ,
329 RB_SRES => RB_SRES,
330 RB_LAM => RB_LAM,
331 RB_STAT => RB_STAT,
332 RL_MONI => open,
333 SER_MONI => SER_MONI
334 );
335
336 PERFEXT(0) <= MIG_MONI.rdrhit; -- ext_rdrhit
337 PERFEXT(1) <= MIG_MONI.wrrhit; -- ext_wrrhit
338 PERFEXT(2) <= MIG_MONI.wrflush; -- ext_wrflush
339 PERFEXT(3) <= SER_MONI.rxact; -- ext_rlrxact
340 PERFEXT(4) <= not SER_MONI.rxok; -- ext_rlrxback
341 PERFEXT(5) <= SER_MONI.txact; -- ext_rltxact
342 PERFEXT(6) <= not SER_MONI.txok; -- ext_rltxback
343 PERFEXT(7) <= CE_USEC; -- ext_usec
344
345 SYS70 : pdp11_sys70 -- 1 cpu system ----------------------
346 port map (
347 CLK => CLK,
348 RESET => RESET,
349 RB_MREQ => RB_MREQ,
350 RB_SRES => RB_SRES_CPU,
351 RB_STAT => RB_STAT,
352 RB_LAM_CPU => RB_LAM(0),
353 GRESET => GRESET,
354 CRESET => CRESET,
355 BRESET => BRESET,
356 CP_STAT => CP_STAT,
357 EI_PRI => EI_PRI,
358 EI_VECT => EI_VECT,
359 EI_ACKM => EI_ACKM,
360 PERFEXT => PERFEXT,
361 IB_MREQ => IB_MREQ,
362 IB_SRES => IB_SRES_IBDR,
363 MEM_REQ => MEM_REQ,
364 MEM_WE => MEM_WE,
365 MEM_BUSY => MEM_BUSY,
366 MEM_ACK_R => MEM_ACK_R,
367 MEM_ADDR => MEM_ADDR,
368 MEM_BE => MEM_BE,
369 MEM_DI => MEM_DI,
370 MEM_DO => MEM_DO,
371 DM_STAT_EXP => DM_STAT_EXP
372 );
373
374 IBDR_SYS : ibdr_maxisys -- IO system -------------------------
375 port map (
376 CLK => CLK,
377 CE_USEC => CE_USEC,
378 CE_MSEC => CE_MSEC,
379 RESET => GRESET,
380 BRESET => BRESET,
381 ITIMER => DM_STAT_EXP.se_itimer,
382 IDEC => DM_STAT_EXP.se_idec,
383 CPUSUSP => CP_STAT.cpususp,
384 RB_LAM => RB_LAM(15 downto 1),
385 IB_MREQ => IB_MREQ,
386 IB_SRES => IB_SRES_IBDR,
387 EI_ACKM => EI_ACKM,
388 EI_PRI => EI_PRI,
389 EI_VECT => EI_VECT,
390 DISPREG => DISPREG
391 );
392
393 MEMCTL: sramif_mig_nexys4d -- SRAM to MIG iface -----------------
394 port map (
395 CLK => CLK,
396 RESET => GBL_RESET,
397 REQ => MEM_REQ,
398 WE => MEM_WE,
399 BUSY => MEM_BUSY,
400 ACK_R => MEM_ACK_R,
401 ACK_W => open,
402 ACT_R => MEM_ACT_R,
403 ACT_W => MEM_ACT_W,
404 ADDR => MEM_ADDR,
405 BE => MEM_BE,
406 DI => MEM_DI,
407 DO => MEM_DO,
408 CLKMIG => CLK100_BUF,
409 CLKREF => CLKREF,
410 TEMP => XADC_TEMP,
411 MONI => MIG_MONI,
412 DDR2_DQ => DDR2_DQ,
413 DDR2_DQS_P => DDR2_DQS_P,
414 DDR2_DQS_N => DDR2_DQS_N,
415 DDR2_ADDR => DDR2_ADDR,
416 DDR2_BA => DDR2_BA,
417 DDR2_RAS_N => DDR2_RAS_N,
418 DDR2_CAS_N => DDR2_CAS_N,
419 DDR2_WE_N => DDR2_WE_N,
420 DDR2_CK_P => DDR2_CK_P,
421 DDR2_CK_N => DDR2_CK_N,
422 DDR2_CKE => DDR2_CKE,
423 DDR2_CS_N => DDR2_CS_N,
424 DDR2_DM => DDR2_DM,
425 DDR2_ODT => DDR2_ODT
426 );
427
428 LED_IO : ioleds_sp1c -- hio leds from serport -------------
429 port map (
430 SER_MONI => SER_MONI,
431 IOLEDS => DSP_DP(3 downto 0)
432 );
433 DSP_DP(7 downto 4) <= "0010";
434 ABCLKDIV <= SER_MONI.abclkdiv(11 downto 0) & '0' & SER_MONI.abclkdiv_f;
435
436 HIO70 : pdp11_hio70 -- hio from sys70 --------------------
437 generic map (
438 LWIDTH => LED'length,
439 DCWIDTH => 3)
440 port map (
441 SEL_LED => SWI(3),
442 SEL_DSP => SWI(5 downto 4),
443 MEM_ACT_R => MEM_ACT_R,
444 MEM_ACT_W => MEM_ACT_W,
445 CP_STAT => CP_STAT,
446 DM_STAT_EXP => DM_STAT_EXP,
447 ABCLKDIV => ABCLKDIV,
448 DISPREG => DISPREG,
449 LED => LED,
450 DSP_DAT => DSP_DAT
451 );
452
453 HIO : sn_humanio_rbus -- hio manager -----------------------
454 generic map (
455 SWIDTH => 16,
456 BWIDTH => 5,
457 LWIDTH => 16,
458 DCWIDTH => 3,
459 DEBOUNCE => sys_conf_hio_debounce,
460 RB_ADDR => rbaddr_hio)
461 port map (
462 CLK => CLK,
463 RESET => RESET,
464 CE_MSEC => CE_MSEC,
465 RB_MREQ => RB_MREQ,
466 RB_SRES => RB_SRES_HIO,
467 SWI => SWI,
468 BTN => BTN,
469 LED => LED,
470 DSP_DAT => DSP_DAT,
471 DSP_DP => DSP_DP,
472 I_SWI => I_SWI,
473 I_BTN => I_BTN,
474 O_LED => O_LED,
475 O_ANO_N => O_ANO_N,
476 O_SEG_N => O_SEG_N
477 );
478
479 SMRB : sysmonx_rbus_base -- always instantiated, needed for mig
480 generic map ( -- use default INIT_ (Vccint=1.00)
481 CLK_MHZ => sys_conf_clksys_mhz,
482 RB_ADDR => rbaddr_sysmon)
483 port map (
484 CLK => CLK,
485 RESET => RESET,
486 RB_MREQ => RB_MREQ,
487 RB_SRES => RB_SRES_SYSMON,
488 ALM => open,
489 OT => open,
490 TEMP => XADC_TEMP
491 );
492
493 UARB : rbd_usracc
494 port map (
495 CLK => CLK,
496 RB_MREQ => RB_MREQ,
498 );
499
500 RB_SRES_OR : rb_sres_or_4 -- rbus or ---------------------------
501 port map (
502 RB_SRES_1 => RB_SRES_CPU,
503 RB_SRES_2 => RB_SRES_HIO,
504 RB_SRES_3 => RB_SRES_SYSMON,
505 RB_SRES_4 => RB_SRES_USRACC,
506 RB_SRES_OR => RB_SRES
507 );
508
509 -- setup unused outputs in nexys4
510 O_RGBLED0 <= (others=>'0');
511 O_RGBLED1 <= (others=>not I_BTNRST_N);
512
513end syn;
in I std_ulogic
Definition: bufg_unisim.vhd:29
out O std_ulogic
Definition: bufg_unisim.vhd:27
Definition: iblib.vhd:33
Definition: pdp11.vhd:123
in CLK slbit
Definition: rbd_usracc.vhd:40
in RB_MREQ rb_mreq_type
Definition: rbd_usracc.vhd:41
out RB_SRES rb_sres_type
Definition: rbd_usracc.vhd:43
Definition: rblib.vhd:32
std_logic_vector( 19 downto 0) slv20
Definition: slvtypes.vhd:53
std_logic_vector( 12 downto 0) slv13
Definition: slvtypes.vhd:45
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 4 downto 0) slv5
Definition: slvtypes.vhd:37
std_logic_vector( 11 downto 0) slv12
Definition: slvtypes.vhd:44
std_logic_vector( 2 downto 0) slv3
Definition: slvtypes.vhd:35
std_logic_vector( 8 downto 2) slv9_2
Definition: slvtypes.vhd:65
std_logic_vector( 0 downto 0) slv1
Definition: slvtypes.vhd:33
std_logic_vector( 31 downto 0) slv32
Definition: slvtypes.vhd:59
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40
std_logic_vector( 1 downto 0) slv2
Definition: slvtypes.vhd:34
slbit := '0' CLKS
slv9_2 :=( others => '0') EI_VECT
slbit := '0' RESET
slbit := '0' GRESET
slv8 :=( others => '0') PERFEXT
slbit := '0' CLK100_BUF
slv16 := x"ffe8" rbaddr_rbmon
slv16 := x"fef0" rbaddr_hio
slbit := '0' EI_ACKM
slv12 :=( others => '0') XADC_TEMP
slv16 :=( others => '0') DISPREG
slv32 :=( others => '0') DSP_DAT
ib_mreq_type := ib_mreq_init IB_MREQ
slbit := '0' MEM_WE
sn_humanio_rbus hiohio
slv3 :=( others => '0') EI_PRI
slbit := '0' MEM_ACT_R
slv16 :=( others => '0') SWI
slv4 :=( others => '0') RB_STAT
slv8 := x"08" sysid_board
sramif2migui_moni_type := sramif2migui_moni_init MIG_MONI
slv32 :=( others => '0') MEM_DI
rb_sres_type := rb_sres_init RB_SRES_SYSMON
slbit := '0' MEM_BUSY
rb_mreq_type := rb_mreq_init RB_MREQ
serport_moni_type := serport_moni_init SER_MONI
slbit := '0' MEM_REQ
slbit := '0' CE_USEC
slbit := '1' RXD
slbit := '0' LOCKED_CLK
pdp11_sys70 sys70sys70
slbit := '0' CE_MSEC
slbit := '0' MEM_ACT_W
slbit := '0' CES_MSEC
slv16 :=( others => '0') ABCLKDIV
slv32 :=( others => '0') MEM_DO
rlink_sp2c rlinkrlink
rb_sres_type := rb_sres_init RB_SRES
slv16 :=( others => '0') LED
cp_stat_type := cp_stat_init CP_STAT
slv8 := x"00" sysid_vers
slbit := '0' CLK
rb_sres_type := rb_sres_init RB_SRES_CPU
slv16 := x"0201" sysid_proj
slbit := '0' CTS_N
slv8 :=( others => '0') DSP_DP
slbit := '0' BRESET
slv16 := x"fb00" rbaddr_sysmon
ib_sres_type := ib_sres_init IB_SRES_IBDR
slbit := '0' CLKREF
rb_sres_type := rb_sres_init RB_SRES_USRACC
slv16 :=( others => '0') RB_LAM
slbit := '0' GBL_RESET
rb_sres_type := rb_sres_init RB_SRES_HIO
slbit := '0' RTS_N
slbit := '0' MEM_ACK_R
slbit := '0' CRESET
slv4 :=( others => '0') MEM_BE
slv5 :=( others => '0') BTN
dm_stat_exp_type := dm_stat_exp_init DM_STAT_EXP
slbit := '0' TXD
slbit := '0' LOCKED
slv20 :=( others => '0') MEM_ADDR
out O_TXD slbit
in I_RXD slbit
out O_LED slv16
out DDR2_DM slv2
out DDR2_ODT slv1
out DDR2_CK_P slv1
in I_SWI slv16
in I_BTNRST_N slbit
out DDR2_RAS_N slbit
out DDR2_CKE slv1
in I_BTN slv5
inout DDR2_DQS_P slv2
out DDR2_BA slv3
inout DDR2_DQS_N slv2
inout DDR2_DQ slv16
out O_SEG_N slv8
out O_ANO_N slv8
out DDR2_CS_N slv1
out DDR2_WE_N slbit
out DDR2_CK_N slv1
out DDR2_CAS_N slbit
in I_CTS_N slbit
out O_RGBLED0 slv3
in I_CLK100 slbit
out DDR2_ADDR slv13
out O_RTS_N slbit
out O_RGBLED1 slv3
Definition: xlib.vhd:35