w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sn_humanio_rbus Entity Reference
Inheritance diagram for sn_humanio_rbus:
[legend]
Collaboration diagram for sn_humanio_rbus:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
rblib  Package <rblib>
bpgenlib  Package <bpgenlib>

Generics

SWIDTH  positive := 8
BWIDTH  positive := 4
LWIDTH  positive := 8
DCWIDTH  positive := 2
DEBOUNCE  boolean := true
RB_ADDR  slv16 := x " fef0 "

Ports

CLK   in   slbit
RESET   in   slbit := ' 0 '
CE_MSEC   in   slbit
RB_MREQ   in   rb_mreq_type
RB_SRES   out   rb_sres_type
SWI   out   slv ( SWIDTH - 1 downto 0 )
BTN   out   slv ( BWIDTH - 1 downto 0 )
LED   in   slv ( LWIDTH - 1 downto 0 )
DSP_DAT   in   slv ( 4 * ( 2 ** DCWIDTH ) - 1 downto 0 )
DSP_DP   in   slv ( ( 2 ** DCWIDTH ) - 1 downto 0 )
I_SWI   in   slv ( SWIDTH - 1 downto 0 )
I_BTN   in   slv ( BWIDTH - 1 downto 0 )
O_LED   out   slv ( LWIDTH - 1 downto 0 )
O_ANO_N   out   slv ( ( 2 ** DCWIDTH ) - 1 downto 0 )
O_SEG_N   out   slv8

Detailed Description

Definition at line 85 of file sn_humanio_rbus.vhd.

Member Data Documentation

◆ SWIDTH

SWIDTH positive := 8
Generic

Definition at line 87 of file sn_humanio_rbus.vhd.

◆ BWIDTH

BWIDTH positive := 4
Generic

Definition at line 88 of file sn_humanio_rbus.vhd.

◆ LWIDTH

LWIDTH positive := 8
Generic

Definition at line 89 of file sn_humanio_rbus.vhd.

◆ DCWIDTH

DCWIDTH positive := 2
Generic

Definition at line 90 of file sn_humanio_rbus.vhd.

◆ DEBOUNCE

DEBOUNCE boolean := true
Generic

Definition at line 91 of file sn_humanio_rbus.vhd.

◆ RB_ADDR

RB_ADDR slv16 := x " fef0 "
Generic

Definition at line 92 of file sn_humanio_rbus.vhd.

◆ CLK

CLK in slbit
Port

Definition at line 94 of file sn_humanio_rbus.vhd.

◆ RESET

RESET in slbit := ' 0 '
Port

Definition at line 95 of file sn_humanio_rbus.vhd.

◆ CE_MSEC

CE_MSEC in slbit
Port

Definition at line 96 of file sn_humanio_rbus.vhd.

◆ RB_MREQ

RB_MREQ in rb_mreq_type
Port

Definition at line 97 of file sn_humanio_rbus.vhd.

◆ RB_SRES

RB_SRES out rb_sres_type
Port

Definition at line 98 of file sn_humanio_rbus.vhd.

◆ SWI

SWI out slv ( SWIDTH - 1 downto 0 )
Port

Definition at line 99 of file sn_humanio_rbus.vhd.

◆ BTN

BTN out slv ( BWIDTH - 1 downto 0 )
Port

Definition at line 100 of file sn_humanio_rbus.vhd.

◆ LED

LED in slv ( LWIDTH - 1 downto 0 )
Port

Definition at line 101 of file sn_humanio_rbus.vhd.

◆ DSP_DAT

DSP_DAT in slv ( 4 * ( 2 ** DCWIDTH ) - 1 downto 0 )
Port

Definition at line 102 of file sn_humanio_rbus.vhd.

◆ DSP_DP

DSP_DP in slv ( ( 2 ** DCWIDTH ) - 1 downto 0 )
Port

Definition at line 103 of file sn_humanio_rbus.vhd.

◆ I_SWI

I_SWI in slv ( SWIDTH - 1 downto 0 )
Port

Definition at line 104 of file sn_humanio_rbus.vhd.

◆ I_BTN

I_BTN in slv ( BWIDTH - 1 downto 0 )
Port

Definition at line 105 of file sn_humanio_rbus.vhd.

◆ O_LED

O_LED out slv ( LWIDTH - 1 downto 0 )
Port

Definition at line 106 of file sn_humanio_rbus.vhd.

◆ O_ANO_N

O_ANO_N out slv ( ( 2 ** DCWIDTH ) - 1 downto 0 )
Port

Definition at line 107 of file sn_humanio_rbus.vhd.

◆ O_SEG_N

O_SEG_N out slv8
Port

Definition at line 109 of file sn_humanio_rbus.vhd.

◆ ieee

ieee
Library

Definition at line 75 of file sn_humanio_rbus.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 76 of file sn_humanio_rbus.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 77 of file sn_humanio_rbus.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 79 of file sn_humanio_rbus.vhd.

◆ rblib

rblib
use clause

Definition at line 80 of file sn_humanio_rbus.vhd.

◆ bpgenlib

bpgenlib
use clause

Definition at line 81 of file sn_humanio_rbus.vhd.


The documentation for this design unit was generated from the following file: