w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
bpgenrbuslib Package Reference

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
rblib  Package <rblib>

Components

bp_swibtnled_rbus 
sn_humanio_rbus  <Entity sn_humanio_rbus>
sn_humanio_demu_rbus 
sn_humanio_emu_rbus  <Entity sn_humanio_emu_rbus>
rgbdrv_analog_rbus  <Entity rgbdrv_analog_rbus>

Detailed Description

Definition at line 28 of file bpgenrbuslib.vhd.

Member Data Documentation

◆ ieee

ieee
Library

Definition at line 21 of file bpgenrbuslib.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 22 of file bpgenrbuslib.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 23 of file bpgenrbuslib.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 25 of file bpgenrbuslib.vhd.

◆ rblib

rblib
use clause

Definition at line 26 of file bpgenrbuslib.vhd.

◆ bp_swibtnled_rbus

bp_swibtnled_rbus
Component

Definition at line 30 of file bpgenrbuslib.vhd.

◆ sn_humanio_rbus

sn_humanio_rbus
Component

Definition at line 52 of file bpgenrbuslib.vhd.

◆ sn_humanio_demu_rbus

Definition at line 79 of file bpgenrbuslib.vhd.

◆ sn_humanio_emu_rbus

Definition at line 100 of file bpgenrbuslib.vhd.

◆ rgbdrv_analog_rbus

rgbdrv_analog_rbus
Component

Definition at line 120 of file bpgenrbuslib.vhd.


The documentation for this design unit was generated from the following file: