w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
bp_rs232_4line_iob.vhd
Go to the documentation of this file.
1-- $Id: bp_rs232_4line_iob.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: bp_rs232_4line_iob - syn
7-- Description: iob's for 4 line rs232 (RXD,TXD and RTS,CTS)
8--
9-- Dependencies: xlib/iob_reg_i
10-- xlib/iob_reg_o
11--
12-- Test bench: -
13--
14-- Target Devices: generic
15-- Tool versions: ise 11.4-14.7; viv 2014.4; ghdl 0.26-0.31
16--
17-- Revision History:
18-- Date Rev Version Comment
19-- 2011-07-01 386 1.1 moved and renamed to bpgen
20-- 2010-04-17 278 1.0 Initial version (as s3_rs232_iob_ext)
21------------------------------------------------------------------------------
22--
23
24library ieee;
25use ieee.std_logic_1164.all;
26
27use work.slvtypes.all;
28use work.xlib.all;
29
30-- ----------------------------------------------------------------------------
31
32entity bp_rs232_4line_iob is -- iob's for 4 line rs232 (w/ RTS,CTS)
33 port (
34 CLK : in slbit; -- clock
35 RXD : out slbit; -- receive data (board view)
36 TXD : in slbit; -- transmit data (board view)
37 CTS_N : out slbit; -- clear to send (act. low)
38 RTS_N : in slbit; -- request to send (act. low)
39 I_RXD : in slbit; -- pad-i: receive data (board view)
40 O_TXD : out slbit; -- pad-o: transmit data (board view)
41 I_CTS_N : in slbit; -- pad-i: clear to send (act. low)
42 O_RTS_N : out slbit -- pad-o: request to send (act. low)
43 );
45
46architecture syn of bp_rs232_4line_iob is
47begin
48
49 IOB_RXD : iob_reg_i -- line idle=1, so init sync flop =1
50 generic map (INIT => '1')
51 port map (CLK => CLK, CE => '1', DI => RXD, PAD => I_RXD);
52
53 IOB_TXD : iob_reg_o -- line idle=1, so init sync flop =1
54 generic map (INIT => '1')
55 port map (CLK => CLK, CE => '1', DO => TXD, PAD => O_TXD);
56
57 IOB_CTS : iob_reg_i
58 port map (CLK => CLK, CE => '1', DI => CTS_N, PAD => I_CTS_N);
59
60 IOB_RTS : iob_reg_o
61 port map (CLK => CLK, CE => '1', DO => RTS_N, PAD => O_RTS_N);
62
63end syn;
in PAD slbit
Definition: iob_reg_i.vhd:33
in CE slbit := '1'
Definition: iob_reg_i.vhd:30
in CLK slbit
Definition: iob_reg_i.vhd:29
out DI slbit
Definition: iob_reg_i.vhd:31
in CE slbit := '1'
Definition: iob_reg_o.vhd:30
out PAD slbit
Definition: iob_reg_o.vhd:33
in CLK slbit
Definition: iob_reg_o.vhd:29
in DO slbit
Definition: iob_reg_o.vhd:31
std_logic slbit
Definition: slvtypes.vhd:30
Definition: xlib.vhd:35