w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
ioleds_sp1c Entity Reference
Inheritance diagram for ioleds_sp1c:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
rlinklib  Package <rlinklib>
serportlib  Package <serportlib>

Ports

SER_MONI   in   serport_moni_type
IOLEDS   out   slv4

Detailed Description

Definition at line 28 of file ioleds_sp1c.vhd.

Member Data Documentation

◆ SER_MONI

SER_MONI in serport_moni_type
Port

Definition at line 30 of file ioleds_sp1c.vhd.

◆ IOLEDS

IOLEDS out slv4
Port

Definition at line 32 of file ioleds_sp1c.vhd.

◆ ieee

ieee
Library

Definition at line 20 of file ioleds_sp1c.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 21 of file ioleds_sp1c.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 22 of file ioleds_sp1c.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 24 of file ioleds_sp1c.vhd.

◆ rlinklib

rlinklib
use clause

Definition at line 25 of file ioleds_sp1c.vhd.

◆ serportlib

serportlib
use clause

Definition at line 26 of file ioleds_sp1c.vhd.


The documentation for this design unit was generated from the following file: