w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
ibdr_maxisys.vhd
Go to the documentation of this file.
1-- $Id: ibdr_maxisys.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2009-2019 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: ibdr_maxisys - syn
7-- Description: ibus(rem) devices for full system
8--
9-- Dependencies: ib_rlim_gen
10-- ibd_iist
11-- ibd_kw11l
12-- ibd_kw11p
13-- ibdr_deuna
14-- ibdr_rhrp
15-- ibdr_rl11
16-- ibdr_rk11
17-- ibdr_tm11
18-- ibdr_dl11
19-- ibdr_dl11_buf
20-- ibdr_dz11
21-- ibdr_pc11
22-- ibdr_pc11_buf
23-- ibdr_lp11
24-- ibdr_lp11_buf
25-- ibd_m9312
26-- ibdr_sdreg
27-- ib_sres_or_4
28-- ib_sres_or_3
29-- ib_intmap24
30-- Test bench: -
31-- Target Devices: generic
32-- Tool versions: ise 8.2-14.7; viv 2014.4-2018.3; ghdl 0.18-0.35
33--
34-- Synthesized:
35-- Date Rev ise Target flop lutl lutm slic t peri
36-- 2018-10-13 1055 14.7 131013 xc6slx16-2 774 1720 30 584 s 8.5 +KW11P
37-- 2017-01-29 847 14.7 131013 xc6slx16-2 712 1628 30 599 s 8.5 +DEUNA
38-- 2017-01-28 846 14.7 131013 xc6slx16-2 668 1562 30 577 s 8.5 intmap24
39-- 2017-01-28 683 viv 2016.4 xc7a100t-1 683 1684 48 - -
40-- 2017-01-28 683 14.7 131013 xc6slx16-2 668 1557 30 576 s 8.5 +TM11
41-- 2015-04-06 664 14.7 131013 xc6slx16-2 559 1068 29 410 s 9.1 +RHRP
42-- 2015-01-04 630 14.7 131013 xc6slx16-2 388 761 20 265 s 8.0 +RL11
43-- 2014-06-08 560 14.7 131013 xc6slx16-2 311 615 8 216 s 7.1
44-- 2010-10-17 333 12.1 M53d xc3s1000-4 312 1058 16 617 s 10.3
45-- 2010-10-17 314 12.1 M53d xc3s1000-4 300 1094 16 626 s 10.4
46--
47-- Revision History:
48-- Date Rev Version Comment
49-- 2019-05-04 1146 1.6.9 add ibdr_dz11
50-- 2019-04-28 1142 1.6.8 add ibd_m9312
51-- 2019-04-26 1139 1.6.7 add ibdr_dl11_buf
52-- 2019-04-23 1136 1.6.6 add CLK port to ib_intmap24
53-- 2019-04-14 1131 1.6.5 ib_rlim_gen has CPUSUSP port; RLIM_CEV now slv8
54-- 2019-04-07 1129 1.6.4 add ibdr_pc11_buf
55-- 2019-04-07 1127 1.6.3 ibdr_dl11: use RLIM_CEV, drop CE_USEC
56-- 2019-03-17 1123 1.6.2 add ib_rlim_gen, use with ibdr_lp11_buf
57-- 2019-03-09 1121 1.6.1 add ibdr_lp11_buf
58-- 2019-02-10 1111 1.6 use typ for DL,PC,LP
59-- 2019-01-29 1108 1.5.1 move IIST signals into generate
60-- 2018-10-13 1055 1.5 add IDEC port, connect to EXTEVT of KW11P
61-- 2018-09-08 1043 1.4.2 add KW11P;
62-- 2017-01-29 847 1.4.1 add DEUNA; rename generic labels
63-- 2017-01-28 846 1.4 use ib_intmap24
64-- 2015-05-15 683 1.3.1 add TM11
65-- 2015-05-10 678 1.3 start/stop/suspend overhaul
66-- 2015-04-06 664 1.2.3 rename RPRM to RHRP
67-- 2015-03-14 658 1.2.2 add RPRM; rearrange intmap (+rhrp,tm11,-kw11-p)
68-- use sys_conf, make most devices configurable
69-- 2015-01-04 630 1.2.1 RL11 back in
70-- 2014-06-27 565 1.2.1 temporarily hide RL11
71-- 2014-06-08 561 1.2 add RL11
72-- 2011-11-18 427 1.1.2 now numeric_std clean
73-- 2010-10-23 335 1.1.1 rename RRI_LAM->RB_LAM
74-- 2010-06-11 303 1.1 use IB_MREQ.racc instead of RRI_REQ
75-- 2009-07-12 233 1.0.4 reorder ports; add RESET, CE_USEC to _dl11
76-- 2009-06-20 227 1.0.3 rename generate labels
77-- 2009-06-07 224 1.0.2 add iist_mreq and iist_sres interfaces
78-- 2009-06-01 221 1.0.1 add CE_USEC; add RESET to kw11l; add _pc11, _iist
79-- 2009-05-24 219 1.0 Initial version
80------------------------------------------------------------------------------
81--
82--
83-- full system setup
84--
85-- ibbase vec pri slot attn sror device name
86--
87-- 172540 104 6 17 - 1/1 KW11-P
88-- 177500 260 6 15 16 - 1/2 IIST
89-- 177546 100 6 14 15 - 1/3 KW11-L
90-- 174510 120 5 14 9 1/4 DEUNA
91-- 176700 254 5 13 13 6 2/1 RHRP
92-- 174400 160 5 12 12 5 2/2 RL11
93-- 177400 220 5 11 11 4 2/3 RK11
94-- 172520 224 5 10 10 7 2/4 TM11
95-- 160100 310 5 9 9 3 3/1 DZ11-RX
96-- 314 5 8 8 ^ DZ11-TX
97-- 177560 060 4 7 7 1 3/2 DL11-RX 1st
98-- 064 4 6 6 ^ DL11-TX 1st
99-- 176500 300 4 5 5 2 3/3 DL11-RX 2nd
100-- 304 4 4 4 ^ DL11-TX 2nd
101-- 177550 070 4 3 3 10 4/1 PC11/PTR
102-- 074 4 2 2 ^ PC11/PTP
103-- 177514 200 4 1 1 8 4/2 LP11
104-- 177570 - - - - 4/3 sdreg
105--
106
107library ieee;
108use ieee.std_logic_1164.all;
109use ieee.numeric_std.all;
110
111use work.slvtypes.all;
112use work.iblib.all;
113use work.ibdlib.all;
114use work.sys_conf.all;
115
116-- ----------------------------------------------------------------------------
117entity ibdr_maxisys is -- ibus(rem) full system
118 port (
119 CLK : in slbit; -- clock
120 CE_USEC : in slbit; -- usec pulse
121 CE_MSEC : in slbit; -- msec pulse
122 RESET : in slbit; -- reset
123 BRESET : in slbit; -- ibus reset
124 ITIMER : in slbit; -- instruction timer
125 IDEC : in slbit; -- instruction decode
126 CPUSUSP : in slbit; -- cpu suspended
127 RB_LAM : out slv16_1; -- remote attention vector
128 IB_MREQ : in ib_mreq_type; -- ibus request
129 IB_SRES : out ib_sres_type; -- ibus response
130 EI_ACKM : in slbit; -- interrupt acknowledge (from master)
131 EI_PRI : out slv3; -- interrupt priority (to cpu)
132 EI_VECT : out slv9_2; -- interrupt vector (to cpu)
133 DISPREG : out slv16 -- display register
134 );
135end ibdr_maxisys;
136
137architecture syn of ibdr_maxisys is
138
139 constant ibaddr_dl11_1 : slv16 := slv(to_unsigned(8#176500#,16));
140 constant ibaddr_dz11 : slv16 := slv(to_unsigned(8#160100#,16));
141
142 constant conf_intmap24 : intmap24_array_type :=
143 (intmap_init, -- line 23 (unused)
144 intmap_init, -- line 22 (unused)
145 intmap_init, -- line 21 (unused)
146 intmap_init, -- line 20 (unused)
147 intmap_init, -- line 19 (unused)
148 intmap_init, -- line 18 (unused)
149 (8#104#,6), -- line 17 KW11-P
150 (8#260#,6), -- line 16 IIST
151 (8#100#,6), -- line 15 KW11-L
152 (8#120#,5), -- line 14 DENUA
153 (8#254#,5), -- line 13 RHRP
154 (8#160#,5), -- line 12 RL11
155 (8#220#,5), -- line 11 RK11
156 (8#224#,5), -- line 10 TM11
157 (8#310#,5), -- line 9 DZ11-RX
158 (8#314#,5), -- line 8 DZ11-TX
159 (8#060#,4), -- line 7 DL11-RX 1st
160 (8#064#,4), -- line 6 DL11-TX 1st
161 (8#300#,4), -- line 5 DL11-RX 2nd
162 (8#304#,4), -- line 4 DL11-TX 2nd
163 (8#070#,4), -- line 3 PC11-PTR
164 (8#074#,4), -- line 2 PC11-PTP
165 (8#200#,4), -- line 1 LP11
166 intmap_init -- line 0 (must be unused!)
167 );
168
169 signal RB_LAM_DEUNA : slbit := '0';
170 signal RB_LAM_RHRP : slbit := '0';
171 signal RB_LAM_RL11 : slbit := '0';
172 signal RB_LAM_RK11 : slbit := '0';
173 signal RB_LAM_TM11 : slbit := '0';
174 signal RB_LAM_DL11_0 : slbit := '0';
175 signal RB_LAM_DL11_1 : slbit := '0';
176 signal RB_LAM_DZ11 : slbit := '0';
177 signal RB_LAM_PC11 : slbit := '0';
178 signal RB_LAM_LP11 : slbit := '0';
179
180 signal IB_SRES_IIST : ib_sres_type := ib_sres_init;
181 signal IB_SRES_KW11P : ib_sres_type := ib_sres_init;
182 signal IB_SRES_KW11L : ib_sres_type := ib_sres_init;
183 signal IB_SRES_DEUNA : ib_sres_type := ib_sres_init;
184 signal IB_SRES_RHRP : ib_sres_type := ib_sres_init;
185 signal IB_SRES_RL11 : ib_sres_type := ib_sres_init;
186 signal IB_SRES_RK11 : ib_sres_type := ib_sres_init;
187 signal IB_SRES_TM11 : ib_sres_type := ib_sres_init;
188 signal IB_SRES_DL11_0 : ib_sres_type := ib_sres_init;
189 signal IB_SRES_DL11_1 : ib_sres_type := ib_sres_init;
190 signal IB_SRES_DZ11 : ib_sres_type := ib_sres_init;
191 signal IB_SRES_PC11 : ib_sres_type := ib_sres_init;
192 signal IB_SRES_LP11 : ib_sres_type := ib_sres_init;
193 signal IB_SRES_M9312 : ib_sres_type := ib_sres_init;
194 signal IB_SRES_SDREG : ib_sres_type := ib_sres_init;
195
196 signal IB_SRES_1 : ib_sres_type := ib_sres_init;
197 signal IB_SRES_2 : ib_sres_type := ib_sres_init;
198 signal IB_SRES_3 : ib_sres_type := ib_sres_init;
199 signal IB_SRES_4 : ib_sres_type := ib_sres_init;
200
201 signal EI_REQ : slv24_1 := (others=>'0');
202 signal EI_ACK : slv24_1 := (others=>'0');
203
204 signal EI_REQ_IIST : slbit := '0';
205 signal EI_REQ_KW11P : slbit := '0';
206 signal EI_REQ_KW11L : slbit := '0';
207 signal EI_REQ_DEUNA : slbit := '0';
208 signal EI_REQ_RHRP : slbit := '0';
209 signal EI_REQ_RL11 : slbit := '0';
210 signal EI_REQ_RK11 : slbit := '0';
211 signal EI_REQ_TM11 : slbit := '0';
212 signal EI_REQ_DL11RX_0 : slbit := '0';
213 signal EI_REQ_DL11TX_0 : slbit := '0';
214 signal EI_REQ_DL11RX_1 : slbit := '0';
215 signal EI_REQ_DL11TX_1 : slbit := '0';
216 signal EI_REQ_DZ11RX : slbit := '0';
217 signal EI_REQ_DZ11TX : slbit := '0';
218 signal EI_REQ_PC11PTR : slbit := '0';
219 signal EI_REQ_PC11PTP : slbit := '0';
220 signal EI_REQ_LP11 : slbit := '0';
221
222 signal EI_ACK_IIST : slbit := '0';
223 signal EI_ACK_KW11P : slbit := '0';
224 signal EI_ACK_KW11L : slbit := '0';
225 signal EI_ACK_DEUNA : slbit := '0';
226 signal EI_ACK_RHRP : slbit := '0';
227 signal EI_ACK_RL11 : slbit := '0';
228 signal EI_ACK_RK11 : slbit := '0';
229 signal EI_ACK_TM11 : slbit := '0';
230 signal EI_ACK_DL11RX_0 : slbit := '0';
231 signal EI_ACK_DL11TX_0 : slbit := '0';
232 signal EI_ACK_DL11RX_1 : slbit := '0';
233 signal EI_ACK_DL11TX_1 : slbit := '0';
234 signal EI_ACK_DZ11RX : slbit := '0';
235 signal EI_ACK_DZ11TX : slbit := '0';
236 signal EI_ACK_PC11PTR : slbit := '0';
237 signal EI_ACK_PC11PTP : slbit := '0';
238 signal EI_ACK_LP11 : slbit := '0';
239
240 signal RLIM_CEV : slv8 := (others=>'0');
241
242begin
243
244 RLIM : ib_rlim_gen
245 port map (
246 CLK => CLK,
247 CE_USEC => CE_USEC,
248 RESET => '0',
249 CPUSUSP => CPUSUSP,
251 );
252
253 IIST: if sys_conf_ibd_iist generate
254 signal IIST_BUS : iist_bus_type := iist_bus_init;
255 signal IIST_OUT_0 : iist_line_type := iist_line_init;
256 signal IIST_MREQ : iist_mreq_type := iist_mreq_init;
257 signal IIST_SRES : iist_sres_type := iist_sres_init;
258 begin
259 I0 : ibd_iist
260 port map (
261 CLK => CLK,
262 CE_USEC => CE_USEC,
263 RESET => RESET,
264 BRESET => BRESET,
265 IB_MREQ => IB_MREQ,
273 );
274
275 IIST_BUS(0) <= IIST_OUT_0;
276 IIST_BUS(1) <= iist_line_init;
277 IIST_BUS(2) <= iist_line_init;
278 IIST_BUS(3) <= iist_line_init;
279
280 end generate IIST;
281
282 KW11L : ibd_kw11l
283 port map (
284 CLK => CLK,
285 CE_MSEC => CE_MSEC,
286 RESET => RESET,
287 BRESET => BRESET,
288 CPUSUSP => CPUSUSP,
289 IB_MREQ => IB_MREQ,
293 );
294
295 KW11P: if sys_conf_ibd_kw11p generate
296 begin
297 I0 : ibd_kw11p
298 port map (
299 CLK => CLK,
300 CE_USEC => CE_USEC,
301 CE_MSEC => CE_MSEC,
302 RESET => RESET,
303 BRESET => BRESET,
304 EXTEVT => IDEC,
305 CPUSUSP => CPUSUSP,
306 IB_MREQ => IB_MREQ,
310 );
311 end generate KW11P;
312
313 DEUNA: if sys_conf_ibd_deuna generate
314 begin
315 XUA : ibdr_deuna
316 port map (
317 CLK => CLK,
318 BRESET => BRESET,
320 IB_MREQ => IB_MREQ,
324 );
325 end generate DEUNA;
326
327 RHRP: if sys_conf_ibd_rhrp generate
328 begin
329 RPA : ibdr_rhrp
330 port map (
331 CLK => CLK,
332 CE_USEC => CE_USEC,
333 BRESET => BRESET,
334 ITIMER => ITIMER,
336 IB_MREQ => IB_MREQ,
340 );
341 end generate RHRP;
342
343 RL11: if sys_conf_ibd_rl11 generate
344 begin
345 RLA : ibdr_rl11
346 port map (
347 CLK => CLK,
348 CE_MSEC => CE_MSEC,
349 BRESET => BRESET,
351 IB_MREQ => IB_MREQ,
355 );
356 end generate RL11;
357
358 RK11: if sys_conf_ibd_rk11 generate
359 begin
360 RKA : ibdr_rk11
361 port map (
362 CLK => CLK,
363 CE_MSEC => CE_MSEC,
364 BRESET => BRESET,
366 IB_MREQ => IB_MREQ,
370 );
371 end generate RK11;
372
373 TM11: if sys_conf_ibd_tm11 generate
374 begin
375 TMA : ibdr_tm11
376 port map (
377 CLK => CLK,
378 BRESET => BRESET,
380 IB_MREQ => IB_MREQ,
384 );
385 end generate TM11;
386
387 DL11_0: if sys_conf_ibd_dl11_0 = 0 generate
388 TTA : ibdr_dl11
389 port map (
390 CLK => CLK,
391 RESET => RESET,
392 BRESET => BRESET,
395 IB_MREQ => IB_MREQ,
401 );
402 end generate DL11_0;
403
404 DL11_0BUF: if sys_conf_ibd_dl11_0 > 0 generate
405 TTA : ibdr_dl11_buf
406 generic map (
407 AWIDTH => sys_conf_ibd_dl11_0)
408 port map (
409 CLK => CLK,
410 RESET => RESET,
411 BRESET => BRESET,
414 IB_MREQ => IB_MREQ,
420 );
421 end generate DL11_0BUF;
422
423 DL11_1: if sys_conf_ibd_dl11_1 = 0 generate
424 begin
425 TTB : ibdr_dl11
426 generic map (
428 port map (
429 CLK => CLK,
430 RESET => RESET,
431 BRESET => BRESET,
434 IB_MREQ => IB_MREQ,
440 );
441 end generate DL11_1;
442
443 DL11_1BUF: if sys_conf_ibd_dl11_1 > 0 generate
444 begin
445 TTB : ibdr_dl11_buf
446 generic map (
448 AWIDTH => sys_conf_ibd_dl11_1)
449 port map (
450 CLK => CLK,
451 RESET => RESET,
452 BRESET => BRESET,
455 IB_MREQ => IB_MREQ,
461 );
462 end generate DL11_1BUF;
463
464 DZ11: if sys_conf_ibd_dz11 > 0 generate
465 DZA : ibdr_dz11
466 generic map (
468 AWIDTH => sys_conf_ibd_dz11)
469 port map (
470 CLK => CLK,
471 RESET => RESET,
472 BRESET => BRESET,
475 IB_MREQ => IB_MREQ,
481 );
482 end generate DZ11;
483
484 PC11: if sys_conf_ibd_pc11 = 0 generate
485 begin
486 PCA : ibdr_pc11
487 port map (
488 CLK => CLK,
489 RESET => RESET,
490 BRESET => BRESET,
492 IB_MREQ => IB_MREQ,
498 );
499 end generate PC11;
500
501 PC11BUF: if sys_conf_ibd_pc11 > 0 generate
502 begin
503 PCA : ibdr_pc11_buf
504 generic map (
505 AWIDTH => sys_conf_ibd_pc11)
506 port map (
507 CLK => CLK,
508 RESET => RESET,
509 BRESET => BRESET,
512 IB_MREQ => IB_MREQ,
518 );
519 end generate PC11BUF;
520
521 LP11: if sys_conf_ibd_lp11 = 0 generate
522 begin
523 LPA : ibdr_lp11
524 port map (
525 CLK => CLK,
526 RESET => RESET,
527 BRESET => BRESET,
529 IB_MREQ => IB_MREQ,
533 );
534 end generate LP11;
535
536 LP11BUF: if sys_conf_ibd_lp11 > 0 generate
537 begin
538 LPA : ibdr_lp11_buf
539 generic map (
540 AWIDTH => sys_conf_ibd_lp11)
541 port map (
542 CLK => CLK,
543 RESET => RESET,
544 BRESET => BRESET,
547 IB_MREQ => IB_MREQ,
551 );
552 end generate LP11BUF;
553
554 M9312: if sys_conf_ibd_m9312 generate
555 begin
556 ROM : ibd_m9312
557 port map (
558 CLK => CLK,
559 RESET => RESET,
560 IB_MREQ => IB_MREQ,
562 );
563 end generate M9312;
564
565 SDREG : ibdr_sdreg
566 port map (
567 CLK => CLK,
568 RESET => RESET,
569 IB_MREQ => IB_MREQ,
572 );
573
574 SRES_OR_1 : ib_sres_or_4
575 port map (
581 );
582
583 SRES_OR_2 : ib_sres_or_4
584 port map (
590 );
591
592 SRES_OR_3 : ib_sres_or_3
593 port map (
598 );
599
600 SRES_OR_4 : ib_sres_or_4
601 port map (
607 );
608
609 SRES_OR : ib_sres_or_4
610 port map (
616 );
617
618 INTMAP : ib_intmap24
619 generic map (
621 port map (
622 CLK => CLK,
623 EI_REQ => EI_REQ,
624 EI_ACKM => EI_ACKM,
625 EI_ACK => EI_ACK,
626 EI_PRI => EI_PRI,
628 );
629
630 EI_REQ(23 downto 18) <= (others=>'0');
631 EI_REQ(17) <= EI_REQ_KW11P;
632 EI_REQ(16) <= EI_REQ_IIST;
633 EI_REQ(15) <= EI_REQ_KW11L;
634 EI_REQ(14) <= EI_REQ_DEUNA;
635 EI_REQ(13) <= EI_REQ_RHRP;
636 EI_REQ(12) <= EI_REQ_RL11;
637 EI_REQ(11) <= EI_REQ_RK11;
638 EI_REQ(10) <= EI_REQ_TM11;
639 EI_REQ( 9) <= EI_REQ_DZ11RX;
640 EI_REQ( 8) <= EI_REQ_DZ11TX;
641 EI_REQ( 7) <= EI_REQ_DL11RX_0;
642 EI_REQ( 6) <= EI_REQ_DL11TX_0;
643 EI_REQ( 5) <= EI_REQ_DL11RX_1;
644 EI_REQ( 4) <= EI_REQ_DL11TX_1;
645 EI_REQ( 3) <= EI_REQ_PC11PTR;
646 EI_REQ( 2) <= EI_REQ_PC11PTP;
647 EI_REQ( 1) <= EI_REQ_LP11;
648
649 EI_ACK_KW11P <= EI_ACK(17);
650 EI_ACK_IIST <= EI_ACK(16);
651 EI_ACK_KW11L <= EI_ACK(15);
652 EI_ACK_DEUNA <= EI_ACK(14);
653 EI_ACK_RHRP <= EI_ACK(13);
654 EI_ACK_RL11 <= EI_ACK(12);
655 EI_ACK_RK11 <= EI_ACK(11);
656 EI_ACK_TM11 <= EI_ACK(10);
657 EI_ACK_DZ11RX <= EI_ACK( 9);
658 EI_ACK_DZ11TX <= EI_ACK( 8);
659 EI_ACK_DL11RX_0 <= EI_ACK( 7);
660 EI_ACK_DL11TX_0 <= EI_ACK( 6);
661 EI_ACK_DL11RX_1 <= EI_ACK( 5);
662 EI_ACK_DL11TX_1 <= EI_ACK( 4);
663 EI_ACK_PC11PTR <= EI_ACK( 3);
664 EI_ACK_PC11PTP <= EI_ACK( 2);
665 EI_ACK_LP11 <= EI_ACK( 1);
666
667 RB_LAM(15 downto 11) <= (others=>'0');
668 RB_LAM(10) <= RB_LAM_PC11;
669 RB_LAM( 9) <= RB_LAM_DEUNA;
670 RB_LAM( 8) <= RB_LAM_LP11;
671 RB_LAM( 7) <= RB_LAM_TM11;
672 RB_LAM( 6) <= RB_LAM_RHRP;
673 RB_LAM( 5) <= RB_LAM_RL11;
674 RB_LAM( 4) <= RB_LAM_RK11;
675 RB_LAM( 3) <= RB_LAM_DZ11;
676 RB_LAM( 2) <= RB_LAM_DL11_1;
677 RB_LAM( 1) <= RB_LAM_DL11_0;
678
679end syn;
out EI_PRI slv3
Definition: ib_intmap24.vhd:42
in EI_REQ slv24_1
Definition: ib_intmap24.vhd:39
out EI_VECT slv9_2
Definition: ib_intmap24.vhd:44
INTMAP intmap24_array_type := intmap24_array_init
Definition: ib_intmap24.vhd:36
in CLK slbit
Definition: ib_intmap24.vhd:38
out EI_ACK slv24_1
Definition: ib_intmap24.vhd:41
in EI_ACKM slbit
Definition: ib_intmap24.vhd:40
in RESET slbit
Definition: ib_rlim_gen.vhd:43
in CE_USEC slbit
Definition: ib_rlim_gen.vhd:42
in CLK slbit
Definition: ib_rlim_gen.vhd:41
in CPUSUSP slbit
Definition: ib_rlim_gen.vhd:44
out RLIM_CEV slv8
Definition: ib_rlim_gen.vhd:46
in IB_SRES_2 ib_sres_type := ib_sres_init
in IB_SRES_3 ib_sres_type := ib_sres_init
out IB_SRES_OR ib_sres_type
in IB_SRES_1 ib_sres_type
in IB_SRES_2 ib_sres_type := ib_sres_init
in IB_SRES_3 ib_sres_type := ib_sres_init
out IB_SRES_OR ib_sres_type
in IB_SRES_4 ib_sres_type := ib_sres_init
in IB_SRES_1 ib_sres_type
out EI_REQ slbit
Definition: ibd_iist.vhd:54
in RESET slbit
Definition: ibd_iist.vhd:50
out IIST_OUT iist_line_type
Definition: ibd_iist.vhd:57
in CE_USEC slbit
Definition: ibd_iist.vhd:49
out IIST_MREQ iist_mreq_type
Definition: ibd_iist.vhd:58
in BRESET slbit
Definition: ibd_iist.vhd:51
in IIST_SRES iist_sres_type
Definition: ibd_iist.vhd:60
in IIST_BUS iist_bus_type
Definition: ibd_iist.vhd:56
in CLK slbit
Definition: ibd_iist.vhd:48
in IB_MREQ ib_mreq_type
Definition: ibd_iist.vhd:52
out IB_SRES ib_sres_type
Definition: ibd_iist.vhd:53
in EI_ACK slbit
Definition: ibd_iist.vhd:55
out EI_REQ slbit
Definition: ibd_kw11l.vhd:52
in RESET slbit
Definition: ibd_kw11l.vhd:47
in BRESET slbit
Definition: ibd_kw11l.vhd:48
in CLK slbit
Definition: ibd_kw11l.vhd:45
in IB_MREQ ib_mreq_type
Definition: ibd_kw11l.vhd:50
in CPUSUSP slbit
Definition: ibd_kw11l.vhd:49
out IB_SRES ib_sres_type
Definition: ibd_kw11l.vhd:51
in EI_ACK slbit
Definition: ibd_kw11l.vhd:54
in CE_MSEC slbit
Definition: ibd_kw11l.vhd:46
out EI_REQ slbit
Definition: ibd_kw11p.vhd:45
in RESET slbit
Definition: ibd_kw11p.vhd:39
in CE_USEC slbit
Definition: ibd_kw11p.vhd:37
in EXTEVT slbit
Definition: ibd_kw11p.vhd:41
in BRESET slbit
Definition: ibd_kw11p.vhd:40
in CLK slbit
Definition: ibd_kw11p.vhd:36
in IB_MREQ ib_mreq_type
Definition: ibd_kw11p.vhd:43
in CPUSUSP slbit
Definition: ibd_kw11p.vhd:42
out IB_SRES ib_sres_type
Definition: ibd_kw11p.vhd:44
in EI_ACK slbit
Definition: ibd_kw11p.vhd:47
in CE_MSEC slbit
Definition: ibd_kw11p.vhd:38
in RESET slbit
Definition: ibd_m9312.vhd:33
in CLK slbit
Definition: ibd_m9312.vhd:32
in IB_MREQ ib_mreq_type
Definition: ibd_m9312.vhd:34
out IB_SRES ib_sres_type
Definition: ibd_m9312.vhd:36
out EI_REQ slbit
Definition: ibdr_deuna.vhd:43
in BRESET slbit
Definition: ibdr_deuna.vhd:39
out RB_LAM slbit
Definition: ibdr_deuna.vhd:40
in CLK slbit
Definition: ibdr_deuna.vhd:38
in IB_MREQ ib_mreq_type
Definition: ibdr_deuna.vhd:41
out IB_SRES ib_sres_type
Definition: ibdr_deuna.vhd:42
in EI_ACK slbit
Definition: ibdr_deuna.vhd:45
IB_ADDR slv16 := slv( to_unsigned( 8#177560#, 16) )
in RESET slbit
AWIDTH natural := 5
in EI_ACK_TX slbit
in EI_ACK_RX slbit
in BRESET slbit
out RB_LAM slbit
in CLK slbit
out EI_REQ_RX slbit
in IB_MREQ ib_mreq_type
out IB_SRES ib_sres_type
in RLIM_CEV slv8
out EI_REQ_TX slbit
IB_ADDR slv16 := slv( to_unsigned( 8#177560#, 16) )
Definition: ibdr_dl11.vhd:53
in RESET slbit
Definition: ibdr_dl11.vhd:56
in EI_ACK_TX slbit
Definition: ibdr_dl11.vhd:66
in EI_ACK_RX slbit
Definition: ibdr_dl11.vhd:64
in BRESET slbit
Definition: ibdr_dl11.vhd:57
out RB_LAM slbit
Definition: ibdr_dl11.vhd:59
in CLK slbit
Definition: ibdr_dl11.vhd:55
out EI_REQ_RX slbit
Definition: ibdr_dl11.vhd:62
in IB_MREQ ib_mreq_type
Definition: ibdr_dl11.vhd:60
out IB_SRES ib_sres_type
Definition: ibdr_dl11.vhd:61
in RLIM_CEV slv8
Definition: ibdr_dl11.vhd:58
out EI_REQ_TX slbit
Definition: ibdr_dl11.vhd:63
in RESET slbit
Definition: ibdr_dz11.vhd:37
AWIDTH natural := 5
Definition: ibdr_dz11.vhd:34
in EI_ACK_TX slbit
Definition: ibdr_dz11.vhd:47
in EI_ACK_RX slbit
Definition: ibdr_dz11.vhd:45
in BRESET slbit
Definition: ibdr_dz11.vhd:38
IB_ADDR slv16 := slv( to_unsigned( 8#160100#, 16) )
Definition: ibdr_dz11.vhd:33
out RB_LAM slbit
Definition: ibdr_dz11.vhd:40
in CLK slbit
Definition: ibdr_dz11.vhd:36
out EI_REQ_RX slbit
Definition: ibdr_dz11.vhd:43
in IB_MREQ ib_mreq_type
Definition: ibdr_dz11.vhd:41
out IB_SRES ib_sres_type
Definition: ibdr_dz11.vhd:42
in RLIM_CEV slv8
Definition: ibdr_dz11.vhd:39
out EI_REQ_TX slbit
Definition: ibdr_dz11.vhd:44
out EI_REQ slbit
in RESET slbit
AWIDTH natural := 5
in BRESET slbit
out RB_LAM slbit
in CLK slbit
in IB_MREQ ib_mreq_type
out IB_SRES ib_sres_type
in EI_ACK slbit
in RLIM_CEV slv8
out EI_REQ slbit
Definition: ibdr_lp11.vhd:56
in RESET slbit
Definition: ibdr_lp11.vhd:51
in BRESET slbit
Definition: ibdr_lp11.vhd:52
out RB_LAM slbit
Definition: ibdr_lp11.vhd:53
in CLK slbit
Definition: ibdr_lp11.vhd:50
in IB_MREQ ib_mreq_type
Definition: ibdr_lp11.vhd:54
out IB_SRES ib_sres_type
Definition: ibdr_lp11.vhd:55
in EI_ACK slbit
Definition: ibdr_lp11.vhd:58
slv16 := slv( to_unsigned( 8#160100#, 16) ) ibaddr_dz11
slbit := '0' EI_REQ_DZ11TX
slbit := '0' EI_REQ_TM11
ib_sres_type := ib_sres_init IB_SRES_TM11
slbit := '0' EI_REQ_KW11L
iist_mreq_type := iist_mreq_init IIST_MREQ
slbit := '0' EI_REQ_RL11
slbit := '0' RB_LAM_RHRP
slbit := '0' RB_LAM_RL11
ib_sres_type := ib_sres_init IB_SRES_SDREG
ib_sres_type := ib_sres_init IB_SRES_LP11
slbit := '0' EI_ACK_IIST
slbit := '0' EI_REQ_RK11
slbit := '0' EI_REQ_DL11TX_1
iist_bus_type := iist_bus_init IIST_BUS
slbit := '0' EI_REQ_KW11P
iist_line_type := iist_line_init IIST_OUT_0
slbit := '0' EI_REQ_DL11TX_0
ib_sres_type := ib_sres_init IB_SRES_3
ib_sres_type := ib_sres_init IB_SRES_2
ib_sres_type := ib_sres_init IB_SRES_DL11_0
ib_sres_type := ib_sres_init IB_SRES_RHRP
slbit := '0' EI_ACK_DL11TX_1
slbit := '0' EI_ACK_DL11TX_0
slbit := '0' RB_LAM_RK11
ib_sres_type := ib_sres_init IB_SRES_KW11L
ib_sres_type := ib_sres_init IB_SRES_DZ11
slbit := '0' EI_ACK_KW11L
slbit := '0' EI_REQ_LP11
slbit := '0' EI_REQ_DZ11RX
slbit := '0' EI_REQ_DL11RX_1
slv24_1 :=( others => '0') EI_REQ
slbit := '0' EI_ACK_PC11PTP
slbit := '0' EI_REQ_DEUNA
ib_sres_type := ib_sres_init IB_SRES_M9312
slbit := '0' EI_ACK_RK11
slbit := '0' EI_ACK_DL11RX_0
slbit := '0' EI_ACK_TM11
intmap24_array_type :=( intmap_init, intmap_init, intmap_init, intmap_init, intmap_init, intmap_init,( 8#104#, 6),( 8#260#, 6),( 8#100#, 6),( 8#120#, 5),( 8#254#, 5),( 8#160#, 5),( 8#220#, 5),( 8#224#, 5),( 8#310#, 5),( 8#314#, 5),( 8#060#, 4),( 8#064#, 4),( 8#300#, 4),( 8#304#, 4),( 8#070#, 4),( 8#074#, 4),( 8#200#, 4), intmap_init) conf_intmap24
slbit := '0' RB_LAM_PC11
ib_sres_type := ib_sres_init IB_SRES_IIST
ib_sres_type := ib_sres_init IB_SRES_4
slv24_1 :=( others => '0') EI_ACK
ib_sres_type := ib_sres_init IB_SRES_RL11
slbit := '0' EI_REQ_IIST
slbit := '0' RB_LAM_DZ11
slbit := '0' EI_ACK_PC11PTR
ib_sres_type := ib_sres_init IB_SRES_1
slbit := '0' EI_REQ_DL11RX_0
ib_sres_type := ib_sres_init IB_SRES_PC11
slbit := '0' EI_ACK_DL11RX_1
slv16 := slv( to_unsigned( 8#176500#, 16) ) ibaddr_dl11_1
ib_sres_type := ib_sres_init IB_SRES_RK11
slbit := '0' EI_REQ_RHRP
slv8 :=( others => '0') RLIM_CEV
slbit := '0' RB_LAM_TM11
ib_sres_type := ib_sres_init IB_SRES_KW11P
slbit := '0' EI_ACK_RL11
slbit := '0' RB_LAM_LP11
ib_sres_type := ib_sres_init IB_SRES_DL11_1
slbit := '0' EI_REQ_PC11PTP
slbit := '0' EI_ACK_RHRP
slbit := '0' EI_ACK_DEUNA
ib_sres_type := ib_sres_init IB_SRES_DEUNA
slbit := '0' RB_LAM_DL11_0
slbit := '0' EI_REQ_PC11PTR
iist_sres_type := iist_sres_init IIST_SRES
slbit := '0' EI_ACK_KW11P
slbit := '0' RB_LAM_DL11_1
slbit := '0' EI_ACK_DZ11RX
slbit := '0' RB_LAM_DEUNA
slbit := '0' EI_ACK_DZ11TX
slbit := '0' EI_ACK_LP11
in RESET slbit
out DISPREG slv16
in CE_USEC slbit
out EI_PRI slv3
in BRESET slbit
in IDEC slbit
out EI_VECT slv9_2
in ITIMER slbit
in CLK slbit
in IB_MREQ ib_mreq_type
in CPUSUSP slbit
out IB_SRES ib_sres_type
in EI_ACKM slbit
out RB_LAM slv16_1
in CE_MSEC slbit
in RESET slbit
AWIDTH natural := 5
in BRESET slbit
out EI_REQ_PTP slbit
out RB_LAM slbit
in CLK slbit
in EI_ACK_PTP slbit
in IB_MREQ ib_mreq_type
in EI_ACK_PTR slbit
out IB_SRES ib_sres_type
out EI_REQ_PTR slbit
in RLIM_CEV slv8
in RESET slbit
Definition: ibdr_pc11.vhd:48
in BRESET slbit
Definition: ibdr_pc11.vhd:49
out EI_REQ_PTP slbit
Definition: ibdr_pc11.vhd:54
out RB_LAM slbit
Definition: ibdr_pc11.vhd:50
in CLK slbit
Definition: ibdr_pc11.vhd:47
in EI_ACK_PTP slbit
Definition: ibdr_pc11.vhd:57
in IB_MREQ ib_mreq_type
Definition: ibdr_pc11.vhd:51
in EI_ACK_PTR slbit
Definition: ibdr_pc11.vhd:55
out IB_SRES ib_sres_type
Definition: ibdr_pc11.vhd:52
out EI_REQ_PTR slbit
Definition: ibdr_pc11.vhd:53
out EI_REQ slbit
Definition: ibdr_rhrp.vhd:50
in CE_USEC slbit
Definition: ibdr_rhrp.vhd:44
in BRESET slbit
Definition: ibdr_rhrp.vhd:45
out RB_LAM slbit
Definition: ibdr_rhrp.vhd:47
in ITIMER slbit
Definition: ibdr_rhrp.vhd:46
in CLK slbit
Definition: ibdr_rhrp.vhd:43
in IB_MREQ ib_mreq_type
Definition: ibdr_rhrp.vhd:48
out IB_SRES ib_sres_type
Definition: ibdr_rhrp.vhd:49
in EI_ACK slbit
Definition: ibdr_rhrp.vhd:52
out EI_REQ slbit
Definition: ibdr_rk11.vhd:62
in BRESET slbit
Definition: ibdr_rk11.vhd:58
out RB_LAM slbit
Definition: ibdr_rk11.vhd:59
in CLK slbit
Definition: ibdr_rk11.vhd:56
in IB_MREQ ib_mreq_type
Definition: ibdr_rk11.vhd:60
out IB_SRES ib_sres_type
Definition: ibdr_rk11.vhd:61
in EI_ACK slbit
Definition: ibdr_rk11.vhd:64
in CE_MSEC slbit
Definition: ibdr_rk11.vhd:57
out EI_REQ slbit
Definition: ibdr_rl11.vhd:45
in BRESET slbit
Definition: ibdr_rl11.vhd:41
out RB_LAM slbit
Definition: ibdr_rl11.vhd:42
in CLK slbit
Definition: ibdr_rl11.vhd:39
in IB_MREQ ib_mreq_type
Definition: ibdr_rl11.vhd:43
out IB_SRES ib_sres_type
Definition: ibdr_rl11.vhd:44
in EI_ACK slbit
Definition: ibdr_rl11.vhd:47
in CE_MSEC slbit
Definition: ibdr_rl11.vhd:40
in RESET slbit
Definition: ibdr_sdreg.vhd:45
out DISPREG slv16
Definition: ibdr_sdreg.vhd:49
in CLK slbit
Definition: ibdr_sdreg.vhd:44
in IB_MREQ ib_mreq_type
Definition: ibdr_sdreg.vhd:46
out IB_SRES ib_sres_type
Definition: ibdr_sdreg.vhd:47
out EI_REQ slbit
Definition: ibdr_tm11.vhd:42
in BRESET slbit
Definition: ibdr_tm11.vhd:38
out RB_LAM slbit
Definition: ibdr_tm11.vhd:39
in CLK slbit
Definition: ibdr_tm11.vhd:37
in IB_MREQ ib_mreq_type
Definition: ibdr_tm11.vhd:40
out IB_SRES ib_sres_type
Definition: ibdr_tm11.vhd:41
in EI_ACK slbit
Definition: ibdr_tm11.vhd:44
Definition: iblib.vhd:33
std_logic_vector( 2 downto 0) slv3
Definition: slvtypes.vhd:35
std_logic_vector( 8 downto 2) slv9_2
Definition: slvtypes.vhd:65
std_logic_vector( 15 downto 1) slv16_1
Definition: slvtypes.vhd:67
std_logic_vector( 23 downto 1) slv24_1
Definition: slvtypes.vhd:70
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40
std_logic_vector slv
Definition: slvtypes.vhd:31