w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
cdclib Package Reference

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>

Components

cdc_signal_s1  <Entity cdc_signal_s1>
cdc_signal_s1_as  <Entity cdc_signal_s1_as>
cdc_vector_s0  <Entity cdc_vector_s0>
cdc_vector_s1 
cdc_pulse  <Entity cdc_pulse>
cdc_value  <Entity cdc_value>

Detailed Description

Definition at line 23 of file cdclib.vhd.

Member Data Documentation

◆ ieee

ieee
Library

Definition at line 18 of file cdclib.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 19 of file cdclib.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 21 of file cdclib.vhd.

◆ cdc_signal_s1

cdc_signal_s1
Component

Definition at line 25 of file cdclib.vhd.

◆ cdc_signal_s1_as

cdc_signal_s1_as
Component

Definition at line 35 of file cdclib.vhd.

◆ cdc_vector_s0

cdc_vector_s0
Component

Definition at line 45 of file cdclib.vhd.

◆ cdc_vector_s1

cdc_vector_s1
Component

Definition at line 56 of file cdclib.vhd.

◆ cdc_pulse

cdc_pulse
Component

Definition at line 66 of file cdclib.vhd.

◆ cdc_value

cdc_value
Component

Definition at line 81 of file cdclib.vhd.


The documentation for this design unit was generated from the following file: