w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
ioleds_sp1c.vhd
Go to the documentation of this file.
1-- $Id: ioleds_sp1c.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2015- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: ioleds_sp1c - syn
7-- Description: io activity leds for rlink+serport_1clk combo
8--
9-- Dependencies: -
10-- Test bench: -
11--
12-- Target Devices: generic
13-- Tool versions: xst 17.7; viv 2014.4; ghdl 0.31
14--
15-- Revision History:
16-- Date Rev Version Comment
17-- 2015-02-21 649 1.0 Initial version
18------------------------------------------------------------------------------
19
20library ieee;
21use ieee.std_logic_1164.all;
22use ieee.numeric_std.all;
23
24use work.slvtypes.all;
25use work.rlinklib.all;
26use work.serportlib.all;
27
28entity ioleds_sp1c is -- io activity leds for rlink_sp1c
29 port (
30 SER_MONI : in serport_moni_type; -- ser: monitor port
31 IOLEDS : out slv4 -- 4 bit IO monitor (e.g. for DSP_DP)
32 );
33end entity ioleds_sp1c;
34
35
36architecture syn of ioleds_sp1c is
37
38begin
39
40 -- currently very minimal implementation
41 IOLEDS(3) <= not SER_MONI.txok;
42 IOLEDS(2) <= SER_MONI.txact;
43 IOLEDS(1) <= not SER_MONI.rxok;
44 IOLEDS(0) <= SER_MONI.rxact;
45
46end syn;
in SER_MONI serport_moni_type
Definition: ioleds_sp1c.vhd:30
out IOLEDS slv4
Definition: ioleds_sp1c.vhd:32
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36