w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
rblib Package Reference

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>

Components

rb_sel  <Entity rb_sel>
rb_sres_or_2  <Entity rb_sres_or_2>
rb_sres_or_3  <Entity rb_sres_or_3>
rb_sres_or_4  <Entity rb_sres_or_4>
rb_sres_or_6  <Entity rb_sres_or_6>
rbus_aif 
rb_wreg_rw_3 
rb_wreg_w_3 
rb_wreg_r_3 
rb_sres_or_mon  <Entity rb_sres_or_mon>
rb_mon  <Entity rb_mon>
rb_mon_sb  <Entity rb_mon_sb>

Constants

rb_mreq_init  rb_mreq_type := ( ' 0 ' , ' 0 ' , ' 0 ' , ' 0 ' , ( others = > ' 0 ' ) , ( others = > ' 0 ' ) )
rb_sres_init  rb_sres_type := ( ' 0 ' , ' 0 ' , ' 0 ' , ( others = > ' 0 ' ) )
sbcntl_sbf_rbmon  integer := 13

Records

rb_mreq_type 
aval slbit
re slbit
we slbit
init slbit
addr slv16
din slv16
rb_sres_type 
ack slbit
busy slbit
err slbit
dout slv16

Detailed Description

Definition at line 32 of file rblib.vhd.

Member Data Documentation

◆ ieee

ieee
Library

Definition at line 27 of file rblib.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 28 of file rblib.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 30 of file rblib.vhd.

◆ rb_mreq_type

rb_mreq_type
Record

Definition at line 34 of file rblib.vhd.

◆ aval

aval slbit
Record

Definition at line 35 of file rblib.vhd.

◆ re

re slbit
Record

Definition at line 36 of file rblib.vhd.

◆ we

we slbit
Record

Definition at line 37 of file rblib.vhd.

◆ init

init slbit
Record

Definition at line 38 of file rblib.vhd.

◆ addr

addr slv16
Record

Definition at line 39 of file rblib.vhd.

◆ din

din slv16
Record

Definition at line 40 of file rblib.vhd.

◆ rb_mreq_init

rb_mreq_init rb_mreq_type := ( ' 0 ' , ' 0 ' , ' 0 ' , ' 0 ' , ( others = > ' 0 ' ) , ( others = > ' 0 ' ) )
Constant

Definition at line 43 of file rblib.vhd.

◆ rb_sres_type

rb_sres_type
Record

Definition at line 48 of file rblib.vhd.

◆ ack

ack slbit
Record

Definition at line 49 of file rblib.vhd.

◆ busy

busy slbit
Record

Definition at line 50 of file rblib.vhd.

◆ err

err slbit
Record

Definition at line 51 of file rblib.vhd.

◆ dout

dout slv16
Record

Definition at line 52 of file rblib.vhd.

◆ rb_sres_init

rb_sres_init rb_sres_type := ( ' 0 ' , ' 0 ' , ' 0 ' , ( others = > ' 0 ' ) )
Constant

Definition at line 55 of file rblib.vhd.

◆ rb_sel

rb_sel
Component

Definition at line 59 of file rblib.vhd.

◆ rb_sres_or_2

rb_sres_or_2
Component

Definition at line 70 of file rblib.vhd.

◆ rb_sres_or_3

rb_sres_or_3
Component

Definition at line 77 of file rblib.vhd.

◆ rb_sres_or_4

rb_sres_or_4
Component

Definition at line 85 of file rblib.vhd.

◆ rb_sres_or_6

rb_sres_or_6
Component

Definition at line 94 of file rblib.vhd.

◆ rbus_aif

rbus_aif
Component

Definition at line 106 of file rblib.vhd.

◆ rb_wreg_rw_3

rb_wreg_rw_3
Component

Definition at line 117 of file rblib.vhd.

◆ rb_wreg_w_3

rb_wreg_w_3
Component

Definition at line 131 of file rblib.vhd.

◆ rb_wreg_r_3

rb_wreg_r_3
Component

Definition at line 145 of file rblib.vhd.

◆ rb_sres_or_mon

rb_sres_or_mon
Component

Definition at line 160 of file rblib.vhd.

◆ sbcntl_sbf_rbmon

sbcntl_sbf_rbmon integer := 13
Constant

Definition at line 172 of file rblib.vhd.

◆ rb_mon

rb_mon
Component

Definition at line 174 of file rblib.vhd.

◆ rb_mon_sb

rb_mon_sb
Component

Definition at line 188 of file rblib.vhd.


The documentation for this design unit was generated from the following file: