w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
slvtypes.vhd
Go to the documentation of this file.
1-- $Id: slvtypes.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2017 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: slvtypes
7-- Description: Short names for std_logic types.
8-- This package simply defines short hands for the std_logic
9-- types. slbit and slv are just aliases for std_logic and
10-- std_logic_vector. slv<n> are subtype definitions for
11-- commonly used (n downto 0) vectors
12--
13-- Dependencies: -
14-- Tool versions: ise 8.1-14.7; viv 2014.4-2016.4; ghdl 0.18-0.33
15-- Revision History:
16-- Date Rev Version Comment
17-- 2017-01-28 846 1.0.5 add slv24_1
18-- 2008-08-24 162 1.0.4 add slv60 and 64
19-- 2008-08-22 161 1.0.3 add slvnn_m subtypes from pdp11 package
20-- 2008-03-24 129 1.0.2 add slv31
21-- 2007-12-08 100 1.0.1 add slv1
22-- 2007-06-02 44 1.0 Initial version
23------------------------------------------------------------------------------
24
25library ieee;
26use ieee.std_logic_1164.all;
27
28package slvtypes is
29
30 subtype slbit is std_logic; -- bit
31 subtype slv is std_logic_vector; -- vector
32
33 subtype slv1 is std_logic_vector( 0 downto 0); -- 1 bit word
34 subtype slv2 is std_logic_vector( 1 downto 0); -- 2 bit word
35 subtype slv3 is std_logic_vector( 2 downto 0); -- 3 bit word
36 subtype slv4 is std_logic_vector( 3 downto 0); -- 4 bit word
37 subtype slv5 is std_logic_vector( 4 downto 0); -- 5 bit word
38 subtype slv6 is std_logic_vector( 5 downto 0); -- 6 bit word
39 subtype slv7 is std_logic_vector( 6 downto 0); -- 7 bit word
40 subtype slv8 is std_logic_vector( 7 downto 0); -- 8 bit word
41 subtype slv9 is std_logic_vector( 8 downto 0); -- 9 bit word
42 subtype slv10 is std_logic_vector( 9 downto 0); -- 10 bit word
43 subtype slv11 is std_logic_vector(10 downto 0); -- 11 bit word
44 subtype slv12 is std_logic_vector(11 downto 0); -- 12 bit word
45 subtype slv13 is std_logic_vector(12 downto 0); -- 13 bit word
46 subtype slv14 is std_logic_vector(13 downto 0); -- 14 bit word
47 subtype slv15 is std_logic_vector(14 downto 0); -- 15 bit word
48 subtype slv16 is std_logic_vector(15 downto 0); -- 16 bit word
49
50 subtype slv17 is std_logic_vector(16 downto 0); -- 17 bit word
51 subtype slv18 is std_logic_vector(17 downto 0); -- 18 bit word
52 subtype slv19 is std_logic_vector(18 downto 0); -- 19 bit word
53 subtype slv20 is std_logic_vector(19 downto 0); -- 20 bit word
54 subtype slv21 is std_logic_vector(20 downto 0); -- 21 bit word
55 subtype slv22 is std_logic_vector(21 downto 0); -- 22 bit word
56 subtype slv23 is std_logic_vector(22 downto 0); -- 23 bit word
57 subtype slv24 is std_logic_vector(23 downto 0); -- 24 bit word
58 subtype slv31 is std_logic_vector(30 downto 0); -- 31 bit word
59 subtype slv32 is std_logic_vector(31 downto 0); -- 32 bit word
60
61 subtype slv60 is std_logic_vector(59 downto 0); -- 59 bit word
62 subtype slv64 is std_logic_vector(63 downto 0); -- 63 bit word
63
64 subtype slv8_1 is std_logic_vector(7 downto 1); -- 8 bit word, 1 lsb drop
65 subtype slv9_2 is std_logic_vector(8 downto 2); -- 9 bit word, 2 lsb drop
66 subtype slv13_1 is std_logic_vector(12 downto 1); -- 13 bit word, 1 lsb drop
67 subtype slv16_1 is std_logic_vector(15 downto 1); -- 16 bit word, 1 lsb drop
68 subtype slv18_1 is std_logic_vector(17 downto 1); -- 18 bit word, 1 lsb drop
69 subtype slv22_1 is std_logic_vector(21 downto 1); -- 22 bit word, 1 lsb drop
70 subtype slv24_1 is std_logic_vector(23 downto 1); -- 24 bit word, 1 lsb drop
71
72end package slvtypes;
std_logic_vector( 14 downto 0) slv15
Definition: slvtypes.vhd:47
std_logic_vector( 13 downto 0) slv14
Definition: slvtypes.vhd:46
std_logic_vector( 22 downto 0) slv23
Definition: slvtypes.vhd:56
std_logic_vector( 59 downto 0) slv60
Definition: slvtypes.vhd:61
std_logic_vector( 9 downto 0) slv10
Definition: slvtypes.vhd:42
std_logic_vector( 19 downto 0) slv20
Definition: slvtypes.vhd:53
std_logic_vector( 10 downto 0) slv11
Definition: slvtypes.vhd:43
std_logic_vector( 7 downto 1) slv8_1
Definition: slvtypes.vhd:64
std_logic_vector( 21 downto 1) slv22_1
Definition: slvtypes.vhd:69
std_logic_vector( 63 downto 0) slv64
Definition: slvtypes.vhd:62
std_logic_vector( 18 downto 0) slv19
Definition: slvtypes.vhd:52
std_logic_vector( 12 downto 0) slv13
Definition: slvtypes.vhd:45
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 4 downto 0) slv5
Definition: slvtypes.vhd:37
std_logic_vector( 11 downto 0) slv12
Definition: slvtypes.vhd:44
std_logic_vector( 6 downto 0) slv7
Definition: slvtypes.vhd:39
std_logic_vector( 2 downto 0) slv3
Definition: slvtypes.vhd:35
std_logic_vector( 8 downto 2) slv9_2
Definition: slvtypes.vhd:65
std_logic_vector( 20 downto 0) slv21
Definition: slvtypes.vhd:54
std_logic_vector( 12 downto 1) slv13_1
Definition: slvtypes.vhd:66
std_logic_vector( 0 downto 0) slv1
Definition: slvtypes.vhd:33
std_logic_vector( 17 downto 0) slv18
Definition: slvtypes.vhd:51
std_logic_vector( 15 downto 1) slv16_1
Definition: slvtypes.vhd:67
std_logic_vector( 16 downto 0) slv17
Definition: slvtypes.vhd:50
std_logic_vector( 23 downto 1) slv24_1
Definition: slvtypes.vhd:70
std_logic_vector( 8 downto 0) slv9
Definition: slvtypes.vhd:41
std_logic_vector( 31 downto 0) slv32
Definition: slvtypes.vhd:59
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic_vector( 21 downto 0) slv22
Definition: slvtypes.vhd:55
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 17 downto 1) slv18_1
Definition: slvtypes.vhd:68
std_logic_vector( 5 downto 0) slv6
Definition: slvtypes.vhd:38
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40
std_logic_vector( 23 downto 0) slv24
Definition: slvtypes.vhd:57
std_logic_vector( 1 downto 0) slv2
Definition: slvtypes.vhd:34
std_logic_vector slv
Definition: slvtypes.vhd:31
std_logic_vector( 30 downto 0) slv31
Definition: slvtypes.vhd:58