w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sysmonrbuslib Package Reference
Package >> sysmonrbuslib

Functions

bv16   xadc_temp2alim ( temp: in real [ pure ]
bv16   xadc_svolt2alim ( volt: in real [ pure ]

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
rblib  Package <rblib>

Components

sysmon_rbus_core  <Entity sysmon_rbus_core>
sysmonx_rbus_base  <Entity sysmonx_rbus_base>
sysmonx_rbus_arty  <Entity sysmonx_rbus_arty>

Constants

xadc_conf0_cavg  bv16 := x " 8000 "
xadc_conf0_avg_off  bv16 := x " 0000 "
xadc_conf0_avg_16  bv16 := x " 1000 "
xadc_conf0_avg_64  bv16 := x " 2000 "
xadc_conf0_avg_256  bv16 := x " 3000 "
xadc_conf0_mux  bv16 := x " 0800 "
xadc_conf0_bu  bv16 := x " 0400 "
xadc_conf0_ec  bv16 := x " 0200 "
xadc_conf0_acq  bv16 := x " 0100 "
xadc_conf1_seq_default  bv16 := x " 0000 "
xadc_conf1_seq_spass  bv16 := x " 1000 "
xadc_conf1_seq_cont  bv16 := x " 2000 "
xadc_conf1_seq_schan  bv16 := x " 3000 "
xadc_conf1_dis_alm6  bv16 := x " 0800 "
xadc_conf1_dis_alm5  bv16 := x " 0400 "
xadc_conf1_dis_alm4  bv16 := x " 0200 "
xadc_conf1_dis_alm3  bv16 := x " 0100 "
xadc_conf1_cal3_supog  bv16 := x " 0080 "
xadc_conf1_cal2_supo  bv16 := x " 0040 "
xadc_conf1_cal1_adcog  bv16 := x " 0020 "
xadc_conf1_cal0_adco  bv16 := x " 0010 "
xadc_conf1_dis_alm2  bv16 := x " 0008 "
xadc_conf1_dis_alm1  bv16 := x " 0004 "
xadc_conf1_dis_alm0  bv16 := x " 0002 "
xadc_conf1_dis_ot  bv16 := x " 0001 "
xadc_select_vccbram  integer := 14
xadc_select_vrefn  integer := 13
xadc_select_vrefp  integer := 12
xadc_select_vpvn  integer := 11
xadc_select_vccaux  integer := 10
xadc_select_vccint  integer := 9
xadc_select_temp  integer := 8
xadc_select_vccoddr  integer := 7
xadc_select_vccpaux  integer := 6
xadc_select_vccpint  integer := 5
xadc_select_calib  integer := 0
xadc_init_40_default  bv16 := xadc_conf0_cavgor xadc_conf0_avg_16
xadc_init_41_default  bv16 := xadc_conf1_seq_contor xadc_conf1_dis_alm6or xadc_conf1_dis_alm5or xadc_conf1_dis_alm4or xadc_conf1_cal3_supogor xadc_conf1_cal2_supoor xadc_conf1_cal1_adcogor xadc_conf1_cal0_adco
xadc_init_48_default  bv16 := ( xadc_select_vccbram = > ' 1 ' , xadc_select_vccaux = > ' 1 ' , xadc_select_vccint = > ' 1 ' , xadc_select_temp = > ' 1 ' , xadc_select_calib = > ' 1 ' , others = > ' 0 ' )
xadc_init_53_default  bv16 := x " ca33 "
xadc_init_57_default  bv16 := x " ae40 "
xadc_init_4a_default  bv16 := ( others = > ' 0 ' )

Subtypes

bv  bit_vector
bv16  bit_vector ( 15 downto 0 )

Detailed Description

Definition at line 25 of file sysmonrbuslib.vhd.

Member Function/Procedure/Process Documentation

◆ xadc_temp2alim()

bv16 xadc_temp2alim (   temp in real  
) pure
Function

Definition at line 103 of file sysmonrbuslib.vhd.

◆ xadc_svolt2alim()

bv16 xadc_svolt2alim (   volt in real  
) pure
Function

Definition at line 104 of file sysmonrbuslib.vhd.

Member Data Documentation

◆ ieee

ieee
Library

Definition at line 18 of file sysmonrbuslib.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 19 of file sysmonrbuslib.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 20 of file sysmonrbuslib.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 22 of file sysmonrbuslib.vhd.

◆ rblib

rblib
use clause

Definition at line 23 of file sysmonrbuslib.vhd.

◆ bv

bv bit_vector
Subtype

Definition at line 27 of file sysmonrbuslib.vhd.

◆ bv16

bv16 bit_vector ( 15 downto 0 )
Subtype

Definition at line 28 of file sysmonrbuslib.vhd.

◆ xadc_conf0_cavg

xadc_conf0_cavg bv16 := x " 8000 "
Constant

Definition at line 31 of file sysmonrbuslib.vhd.

◆ xadc_conf0_avg_off

xadc_conf0_avg_off bv16 := x " 0000 "
Constant

Definition at line 32 of file sysmonrbuslib.vhd.

◆ xadc_conf0_avg_16

xadc_conf0_avg_16 bv16 := x " 1000 "
Constant

Definition at line 33 of file sysmonrbuslib.vhd.

◆ xadc_conf0_avg_64

xadc_conf0_avg_64 bv16 := x " 2000 "
Constant

Definition at line 34 of file sysmonrbuslib.vhd.

◆ xadc_conf0_avg_256

xadc_conf0_avg_256 bv16 := x " 3000 "
Constant

Definition at line 35 of file sysmonrbuslib.vhd.

◆ xadc_conf0_mux

xadc_conf0_mux bv16 := x " 0800 "
Constant

Definition at line 36 of file sysmonrbuslib.vhd.

◆ xadc_conf0_bu

xadc_conf0_bu bv16 := x " 0400 "
Constant

Definition at line 37 of file sysmonrbuslib.vhd.

◆ xadc_conf0_ec

xadc_conf0_ec bv16 := x " 0200 "
Constant

Definition at line 38 of file sysmonrbuslib.vhd.

◆ xadc_conf0_acq

xadc_conf0_acq bv16 := x " 0100 "
Constant

Definition at line 39 of file sysmonrbuslib.vhd.

◆ xadc_conf1_seq_default

xadc_conf1_seq_default bv16 := x " 0000 "
Constant

Definition at line 43 of file sysmonrbuslib.vhd.

◆ xadc_conf1_seq_spass

xadc_conf1_seq_spass bv16 := x " 1000 "
Constant

Definition at line 44 of file sysmonrbuslib.vhd.

◆ xadc_conf1_seq_cont

xadc_conf1_seq_cont bv16 := x " 2000 "
Constant

Definition at line 45 of file sysmonrbuslib.vhd.

◆ xadc_conf1_seq_schan

xadc_conf1_seq_schan bv16 := x " 3000 "
Constant

Definition at line 46 of file sysmonrbuslib.vhd.

◆ xadc_conf1_dis_alm6

xadc_conf1_dis_alm6 bv16 := x " 0800 "
Constant

Definition at line 47 of file sysmonrbuslib.vhd.

◆ xadc_conf1_dis_alm5

xadc_conf1_dis_alm5 bv16 := x " 0400 "
Constant

Definition at line 48 of file sysmonrbuslib.vhd.

◆ xadc_conf1_dis_alm4

xadc_conf1_dis_alm4 bv16 := x " 0200 "
Constant

Definition at line 49 of file sysmonrbuslib.vhd.

◆ xadc_conf1_dis_alm3

xadc_conf1_dis_alm3 bv16 := x " 0100 "
Constant

Definition at line 50 of file sysmonrbuslib.vhd.

◆ xadc_conf1_cal3_supog

xadc_conf1_cal3_supog bv16 := x " 0080 "
Constant

Definition at line 52 of file sysmonrbuslib.vhd.

◆ xadc_conf1_cal2_supo

xadc_conf1_cal2_supo bv16 := x " 0040 "
Constant

Definition at line 53 of file sysmonrbuslib.vhd.

◆ xadc_conf1_cal1_adcog

xadc_conf1_cal1_adcog bv16 := x " 0020 "
Constant

Definition at line 54 of file sysmonrbuslib.vhd.

◆ xadc_conf1_cal0_adco

xadc_conf1_cal0_adco bv16 := x " 0010 "
Constant

Definition at line 55 of file sysmonrbuslib.vhd.

◆ xadc_conf1_dis_alm2

xadc_conf1_dis_alm2 bv16 := x " 0008 "
Constant

Definition at line 57 of file sysmonrbuslib.vhd.

◆ xadc_conf1_dis_alm1

xadc_conf1_dis_alm1 bv16 := x " 0004 "
Constant

Definition at line 58 of file sysmonrbuslib.vhd.

◆ xadc_conf1_dis_alm0

xadc_conf1_dis_alm0 bv16 := x " 0002 "
Constant

Definition at line 59 of file sysmonrbuslib.vhd.

◆ xadc_conf1_dis_ot

xadc_conf1_dis_ot bv16 := x " 0001 "
Constant

Definition at line 60 of file sysmonrbuslib.vhd.

◆ xadc_select_vccbram

xadc_select_vccbram integer := 14
Constant

Definition at line 63 of file sysmonrbuslib.vhd.

◆ xadc_select_vrefn

xadc_select_vrefn integer := 13
Constant

Definition at line 64 of file sysmonrbuslib.vhd.

◆ xadc_select_vrefp

xadc_select_vrefp integer := 12
Constant

Definition at line 65 of file sysmonrbuslib.vhd.

◆ xadc_select_vpvn

xadc_select_vpvn integer := 11
Constant

Definition at line 66 of file sysmonrbuslib.vhd.

◆ xadc_select_vccaux

xadc_select_vccaux integer := 10
Constant

Definition at line 67 of file sysmonrbuslib.vhd.

◆ xadc_select_vccint

xadc_select_vccint integer := 9
Constant

Definition at line 68 of file sysmonrbuslib.vhd.

◆ xadc_select_temp

xadc_select_temp integer := 8
Constant

Definition at line 69 of file sysmonrbuslib.vhd.

◆ xadc_select_vccoddr

xadc_select_vccoddr integer := 7
Constant

Definition at line 70 of file sysmonrbuslib.vhd.

◆ xadc_select_vccpaux

xadc_select_vccpaux integer := 6
Constant

Definition at line 71 of file sysmonrbuslib.vhd.

◆ xadc_select_vccpint

xadc_select_vccpint integer := 5
Constant

Definition at line 72 of file sysmonrbuslib.vhd.

◆ xadc_select_calib

xadc_select_calib integer := 0
Constant

Definition at line 73 of file sysmonrbuslib.vhd.

◆ xadc_init_40_default

xadc_init_40_default bv16 := xadc_conf0_cavgor xadc_conf0_avg_16
Constant

Definition at line 76 of file sysmonrbuslib.vhd.

◆ xadc_init_41_default

xadc_init_41_default bv16 := xadc_conf1_seq_contor xadc_conf1_dis_alm6or xadc_conf1_dis_alm5or xadc_conf1_dis_alm4or xadc_conf1_cal3_supogor xadc_conf1_cal2_supoor xadc_conf1_cal1_adcogor xadc_conf1_cal0_adco
Constant

Definition at line 79 of file sysmonrbuslib.vhd.

◆ xadc_init_48_default

xadc_init_48_default bv16 := ( xadc_select_vccbram = > ' 1 ' , xadc_select_vccaux = > ' 1 ' , xadc_select_vccint = > ' 1 ' , xadc_select_temp = > ' 1 ' , xadc_select_calib = > ' 1 ' , others = > ' 0 ' )
Constant

Definition at line 88 of file sysmonrbuslib.vhd.

◆ xadc_init_53_default

xadc_init_53_default bv16 := x " ca33 "
Constant

Definition at line 98 of file sysmonrbuslib.vhd.

◆ xadc_init_57_default

xadc_init_57_default bv16 := x " ae40 "
Constant

Definition at line 99 of file sysmonrbuslib.vhd.

◆ xadc_init_4a_default

xadc_init_4a_default bv16 := ( others = > ' 0 ' )
Constant

Definition at line 101 of file sysmonrbuslib.vhd.

◆ sysmon_rbus_core

sysmon_rbus_core
Component

Definition at line 106 of file sysmonrbuslib.vhd.

◆ sysmonx_rbus_base

sysmonx_rbus_base
Component

Definition at line 135 of file sysmonrbuslib.vhd.

◆ sysmonx_rbus_arty

sysmonx_rbus_arty
Component

Definition at line 158 of file sysmonrbuslib.vhd.


The documentation for this design unit was generated from the following file: