w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
slvtypes Package Reference

Libraries

ieee 

Use Clauses

std_logic_1164 

Subtypes

slbit  std_logic
slv  std_logic_vector
slv1  std_logic_vector ( 0 downto 0 )
slv2  std_logic_vector ( 1 downto 0 )
slv3  std_logic_vector ( 2 downto 0 )
slv4  std_logic_vector ( 3 downto 0 )
slv5  std_logic_vector ( 4 downto 0 )
slv6  std_logic_vector ( 5 downto 0 )
slv7  std_logic_vector ( 6 downto 0 )
slv8  std_logic_vector ( 7 downto 0 )
slv9  std_logic_vector ( 8 downto 0 )
slv10  std_logic_vector ( 9 downto 0 )
slv11  std_logic_vector ( 10 downto 0 )
slv12  std_logic_vector ( 11 downto 0 )
slv13  std_logic_vector ( 12 downto 0 )
slv14  std_logic_vector ( 13 downto 0 )
slv15  std_logic_vector ( 14 downto 0 )
slv16  std_logic_vector ( 15 downto 0 )
slv17  std_logic_vector ( 16 downto 0 )
slv18  std_logic_vector ( 17 downto 0 )
slv19  std_logic_vector ( 18 downto 0 )
slv20  std_logic_vector ( 19 downto 0 )
slv21  std_logic_vector ( 20 downto 0 )
slv22  std_logic_vector ( 21 downto 0 )
slv23  std_logic_vector ( 22 downto 0 )
slv24  std_logic_vector ( 23 downto 0 )
slv31  std_logic_vector ( 30 downto 0 )
slv32  std_logic_vector ( 31 downto 0 )
slv60  std_logic_vector ( 59 downto 0 )
slv64  std_logic_vector ( 63 downto 0 )
slv8_1  std_logic_vector ( 7 downto 1 )
slv9_2  std_logic_vector ( 8 downto 2 )
slv13_1  std_logic_vector ( 12 downto 1 )
slv16_1  std_logic_vector ( 15 downto 1 )
slv18_1  std_logic_vector ( 17 downto 1 )
slv22_1  std_logic_vector ( 21 downto 1 )
slv24_1  std_logic_vector ( 23 downto 1 )

Detailed Description

Definition at line 28 of file slvtypes.vhd.

Member Data Documentation

◆ ieee

ieee
Library

Definition at line 25 of file slvtypes.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 26 of file slvtypes.vhd.

◆ slbit

slbit std_logic
Subtype

Definition at line 30 of file slvtypes.vhd.

◆ slv

slv std_logic_vector
Subtype

Definition at line 31 of file slvtypes.vhd.

◆ slv1

slv1 std_logic_vector ( 0 downto 0 )
Subtype

Definition at line 33 of file slvtypes.vhd.

◆ slv2

slv2 std_logic_vector ( 1 downto 0 )
Subtype

Definition at line 34 of file slvtypes.vhd.

◆ slv3

slv3 std_logic_vector ( 2 downto 0 )
Subtype

Definition at line 35 of file slvtypes.vhd.

◆ slv4

slv4 std_logic_vector ( 3 downto 0 )
Subtype

Definition at line 36 of file slvtypes.vhd.

◆ slv5

slv5 std_logic_vector ( 4 downto 0 )
Subtype

Definition at line 37 of file slvtypes.vhd.

◆ slv6

slv6 std_logic_vector ( 5 downto 0 )
Subtype

Definition at line 38 of file slvtypes.vhd.

◆ slv7

slv7 std_logic_vector ( 6 downto 0 )
Subtype

Definition at line 39 of file slvtypes.vhd.

◆ slv8

slv8 std_logic_vector ( 7 downto 0 )
Subtype

Definition at line 40 of file slvtypes.vhd.

◆ slv9

slv9 std_logic_vector ( 8 downto 0 )
Subtype

Definition at line 41 of file slvtypes.vhd.

◆ slv10

slv10 std_logic_vector ( 9 downto 0 )
Subtype

Definition at line 42 of file slvtypes.vhd.

◆ slv11

slv11 std_logic_vector ( 10 downto 0 )
Subtype

Definition at line 43 of file slvtypes.vhd.

◆ slv12

slv12 std_logic_vector ( 11 downto 0 )
Subtype

Definition at line 44 of file slvtypes.vhd.

◆ slv13

slv13 std_logic_vector ( 12 downto 0 )
Subtype

Definition at line 45 of file slvtypes.vhd.

◆ slv14

slv14 std_logic_vector ( 13 downto 0 )
Subtype

Definition at line 46 of file slvtypes.vhd.

◆ slv15

slv15 std_logic_vector ( 14 downto 0 )
Subtype

Definition at line 47 of file slvtypes.vhd.

◆ slv16

slv16 std_logic_vector ( 15 downto 0 )
Subtype

Definition at line 48 of file slvtypes.vhd.

◆ slv17

slv17 std_logic_vector ( 16 downto 0 )
Subtype

Definition at line 50 of file slvtypes.vhd.

◆ slv18

slv18 std_logic_vector ( 17 downto 0 )
Subtype

Definition at line 51 of file slvtypes.vhd.

◆ slv19

slv19 std_logic_vector ( 18 downto 0 )
Subtype

Definition at line 52 of file slvtypes.vhd.

◆ slv20

slv20 std_logic_vector ( 19 downto 0 )
Subtype

Definition at line 53 of file slvtypes.vhd.

◆ slv21

slv21 std_logic_vector ( 20 downto 0 )
Subtype

Definition at line 54 of file slvtypes.vhd.

◆ slv22

slv22 std_logic_vector ( 21 downto 0 )
Subtype

Definition at line 55 of file slvtypes.vhd.

◆ slv23

slv23 std_logic_vector ( 22 downto 0 )
Subtype

Definition at line 56 of file slvtypes.vhd.

◆ slv24

slv24 std_logic_vector ( 23 downto 0 )
Subtype

Definition at line 57 of file slvtypes.vhd.

◆ slv31

slv31 std_logic_vector ( 30 downto 0 )
Subtype

Definition at line 58 of file slvtypes.vhd.

◆ slv32

slv32 std_logic_vector ( 31 downto 0 )
Subtype

Definition at line 59 of file slvtypes.vhd.

◆ slv60

slv60 std_logic_vector ( 59 downto 0 )
Subtype

Definition at line 61 of file slvtypes.vhd.

◆ slv64

slv64 std_logic_vector ( 63 downto 0 )
Subtype

Definition at line 62 of file slvtypes.vhd.

◆ slv8_1

slv8_1 std_logic_vector ( 7 downto 1 )
Subtype

Definition at line 64 of file slvtypes.vhd.

◆ slv9_2

slv9_2 std_logic_vector ( 8 downto 2 )
Subtype

Definition at line 65 of file slvtypes.vhd.

◆ slv13_1

slv13_1 std_logic_vector ( 12 downto 1 )
Subtype

Definition at line 66 of file slvtypes.vhd.

◆ slv16_1

slv16_1 std_logic_vector ( 15 downto 1 )
Subtype

Definition at line 67 of file slvtypes.vhd.

◆ slv18_1

slv18_1 std_logic_vector ( 17 downto 1 )
Subtype

Definition at line 68 of file slvtypes.vhd.

◆ slv22_1

slv22_1 std_logic_vector ( 21 downto 1 )
Subtype

Definition at line 69 of file slvtypes.vhd.

◆ slv24_1

slv24_1 std_logic_vector ( 23 downto 1 )
Subtype

Definition at line 70 of file slvtypes.vhd.


The documentation for this design unit was generated from the following file: