w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
rb_sres_or_4.vhd
Go to the documentation of this file.
1-- $Id: rb_sres_or_4.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2008-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: rb_sres_or_4 - syn
7-- Description: rbus result or, 4 input
8--
9-- Dependencies: rb_sres_or_mon [sim only]
10-- Test bench: -
11-- Target Devices: generic
12-- Tool versions: xst 8.1-14.7; viv 2014.4-2015.4; ghdl 0.18-0.33
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2010-12-04 343 1.1.1 use now rb_sres_or_mon
17-- 2010-06-26 309 1.1 add rritb_sres_or_mon
18-- 2008-08-22 161 1.0.1 renamed rri_rbres_ -> rb_sres_
19-- 2008-01-20 113 1.0 Initial version
20------------------------------------------------------------------------------
21
22library ieee;
23use ieee.std_logic_1164.all;
24
25use work.slvtypes.all;
26use work.rblib.all;
27
28-- ----------------------------------------------------------------------------
29
30entity rb_sres_or_4 is -- rbus result or, 4 input
31 port (
32 RB_SRES_1 : in rb_sres_type; -- rb_sres input 1
33 RB_SRES_2 : in rb_sres_type := rb_sres_init; -- rb_sres input 2
34 RB_SRES_3 : in rb_sres_type := rb_sres_init; -- rb_sres input 3
35 RB_SRES_4 : in rb_sres_type := rb_sres_init; -- rb_sres input 4
36 RB_SRES_OR : out rb_sres_type -- rb_sres or'ed output
37 );
38end rb_sres_or_4;
39
40architecture syn of rb_sres_or_4 is
41
42begin
43
44 proc_comb : process (RB_SRES_1, RB_SRES_2, RB_SRES_3, RB_SRES_4)
45 begin
46
47 RB_SRES_OR.ack <= RB_SRES_1.ack or
48 RB_SRES_2.ack or
49 RB_SRES_3.ack or
50 RB_SRES_4.ack;
51 RB_SRES_OR.busy <= RB_SRES_1.busy or
52 RB_SRES_2.busy or
53 RB_SRES_3.busy or
54 RB_SRES_4.busy;
55 RB_SRES_OR.err <= RB_SRES_1.err or
56 RB_SRES_2.err or
57 RB_SRES_3.err or
58 RB_SRES_4.err;
59 RB_SRES_OR.dout <= RB_SRES_1.dout or
60 RB_SRES_2.dout or
61 RB_SRES_3.dout or
62 RB_SRES_4.dout;
63
64 end process proc_comb;
65
66-- synthesis translate_off
67 ORMON : rb_sres_or_mon
68 port map (
73 );
74-- synthesis translate_on
75
76end syn;
in RB_SRES_2 rb_sres_type := rb_sres_init
in RB_SRES_3 rb_sres_type := rb_sres_init
out RB_SRES_OR rb_sres_type
in RB_SRES_1 rb_sres_type
in RB_SRES_4 rb_sres_type := rb_sres_init
in RB_SRES_2 rb_sres_type
in RB_SRES_3 rb_sres_type := rb_sres_init
in RB_SRES_1 rb_sres_type
in RB_SRES_4 rb_sres_type := rb_sres_init
Definition: rblib.vhd:32