w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_w11a_n4.vhd
Go to the documentation of this file.
1-- $Id: sys_w11a_n4.vhd 1247 2022-07-06 07:04:33Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2013-2022 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: sys_w11a_n4 - syn
7-- Description: w11a test design for nexys4
8--
9-- Dependencies: bplib/bpgen/s7_cmt_1ce1ce
10-- bplib/bpgen/bp_rs232_4line_iob
11-- vlib/rlink/rlink_sp2c
12-- w11a/pdp11_sys70
13-- ibus/ibdr_maxisys
14-- bplib/nxcramlib/nx_cram_memctl_as
15-- bplib/fx2rlink/ioleds_sp1c
16-- w11a/pdp11_hio70
17-- bplib/bpgen/sn_humanio_rbus
18-- bplib/sysmon/sysmonx_rbus_base
19-- vlib/rbus/rbd_usracc
20-- vlib/rbus/rb_sres_or_4
21--
22-- Test bench: tb/tb_sys_w11a_n4
23--
24-- Target Devices: generic
25-- Tool versions: viv 2014.4-2022.1; ghdl 0.29-2.0.0 (ise 14.5-14.7 retired)
26--
27-- Synthesized:
28-- Date Rev viv Target flop lutl lutm bram slic MHz
29-- 2022-07-05 1247 2022.1 xc7a100t-1 3455 6137 279 17.5 2100 80
30-- 2019-05-19 1150 2017.2 xc7a100t-1 3418 7272 285 17.5 2234 80 +dz11
31-- 2019-05-01 1143 2017.2 xc7a100t-1 3295 6597 260 17.5 2107 80 +m9312
32-- 2019-04-27 1140 2017.2 xc7a100t-1 3288 6574 260 17.0 2132 80 +dlbuf
33-- 2019-04-24 1137 2017.2 xc7a100t-1 3251 6465 228 17.0 2043 80 +pcbuf
34-- 2019-03-17 1123 2017.2 xc7a100t-1 3231 6403 212 17.0 2053 80 +lpbuf
35-- 2019-03-02 1116 2017.2 xc7a100t-1 3200 6317 198 17.0 2032 80 +ibtst
36-- 2019-02-02 1108 2018.3 xc7a100t-1 3165 6497 182 17.0 2054 80
37-- 2019-02-02 1108 2017.2 xc7a100t-1 3146 6227 182 17.0 1982 80
38-- 2018-10-13 1056 2017.2 xc7a100t-1 3146 6228 182 17.0 1979 80 +dmpcnt
39-- 2018-09-15 1045 2017.2 xc7a100t-1 2926 5904 150 17.0 1884 80 +KW11P
40-- 2017-04-22 885 2016.4 xc7a100t-1 2862 5859 150 12.0 1900 80 +dmcmon
41-- 2017-04-16 881 2016.4 xc7a100t-1 2645 5621 138 12.0 1804 80 +DEUNA
42-- 2017-01-29 846 2016.4 xc7a100t-1 2574 5496 138 12.0 1750 80 +int24
43-- 2016-05-26 768 2016.1 xc7a100t-1 2777 5672 150 10.0 1763 90 dms=0
44-- 2016-05-22 767 2016.1 xc7a100t-1 2790 5774 150 11.0 1812 75 fsm
45-- 2016-03-29 756 2015.4 xc7a100t-1 2651 4955 150 11.0 1608 75 2clock
46-- 2016-03-27 753 2015.4 xc7a100t-1 2545 4850 150 11.0 1576 80 meminf
47-- 2016-03-27 752 2015.4 xc7a100t-1 2544 4875 178 13.0 1569 80 +TW=8
48-- 2016-03-13 742 2015.4 xc7a100t-1 2536 4868 178 10.5 1542 80 +XADC
49-- 2015-06-04 686 2014.4 xc7a100t-1 2111 4541 162 7.5 1469 80 +TM11
50-- 2015-05-14 680 2014.4 xc7a100t-1 2030 4459 162 7.5 1427 80
51-- 2015-02-22 650 2014.4 xc7a100t-1 1606 3652 146 3.5 1158 80
52-- 2015-02-22 650 i 14.7 xc7a100t-1 1670 3564 124 1508 80
53--
54-- Revision History:
55-- Date Rev Version Comment
56-- 2018-12-16 1086 2.5 use s7_cmt_1ce1ce
57-- 2018-10-13 1055 2.4 use DM_STAT_EXP; IDEC to maxisys; setup PERFEXT
58-- 2016-04-02 758 2.3.1 add rbd_usracc (bitfile+jtag timestamp access)
59-- 2016-03-28 755 2.3 use serport_2clock2
60-- 2016-03-19 748 2.2.1 define rlink SYSID
61-- 2016-03-13 742 2.2 add sysmon_rbus
62-- 2015-05-09 677 2.1 start/stop/suspend overhaul; reset overhaul
63-- 2015-05-01 672 2.0 use pdp11_sys70 and pdp11_hio70
64-- 2015-04-11 666 1.4.2 rearrange XON handling
65-- 2015-02-21 649 1.4.1 use ioleds_sp1c,pdp11_(statleds,ledmux,dspmux)
66-- 2015-02-07 643 1.4 new DSP+LED layout, use pdp11_dr; drop bram and
67-- minisys options;
68-- 2015-02-01 641 1.3.1 separate I_BTNRST_N; autobaud on msb of display
69-- 2015-01-31 640 1.3 drop fusp iface; use new sn_hio
70-- 2014-12-24 620 1.2.1 relocate ibus window and hio rbus address
71-- 2014-08-28 588 1.2 use new rlink v4 iface and 4 bit STAT
72-- 2014-08-15 583 1.1 rb_mreq addr now 16 bit
73-- 2013-09-28 535 1.0.1 use proper clock manager
74-- 2013-09-22 543 1.0 Initial version (derived from sys_w11a_n3)
75------------------------------------------------------------------------------
76--
77-- w11a test design for nexys4
78-- w11a + rlink + serport
79--
80-- Usage of Nexys 4 Switches, Buttons, LEDs
81--
82-- SWI(15:5): no function (only connected to sn_humanio_rbus)
83-- (5): select DSP(7:4) display
84-- 0 abclkdiv & abclkdiv_f
85-- 1 PC
86-- (4): select DSP(3:0) display
87-- 0 DISPREG
88-- 1 DR emulation
89-- (3): select LED display
90-- 0 overall status
91-- 1 DR emulation
92-- (2): unused-reserved (USB port select)
93-- (1): 1 enable XON
94-- (0): unused-reserved (serial port select)
95--
96-- LEDs if SWI(3) = 1
97-- (15:0) DR emulation; shows R0 during wait like 11/45+70
98--
99-- LEDs if SWI(3) = 0
100-- (7) MEM_ACT_W
101-- (6) MEM_ACT_R
102-- (5) cmdbusy (all rlink access, mostly rdma)
103-- (4:0) if cpugo=1 show cpu mode activity
104-- (4) kernel mode, pri>0
105-- (3) kernel mode, pri=0
106-- (2) kernel mode, wait
107-- (1) supervisor mode
108-- (0) user mode
109-- if cpugo=0 shows cpurust
110-- (4) '1'
111-- (3:0) cpurust code
112--
113-- DSP(7:4) shows abclkdiv & abclkdiv_f or PS, depending on SWI(5)
114-- DSP(3:0) shows DISPREG or DR emulation, depending on SWI(4)
115-- DP(3:0) shows IO activity
116-- (3) not SER_MONI.txok (shows tx back pressure)
117-- (2) SER_MONI.txact (shows tx activity)
118-- (1) not SER_MONI.rxok (shows rx back pressure)
119-- (0) SER_MONI.rxact (shows rx activity)
120--
121
122library ieee;
123use ieee.std_logic_1164.all;
124use ieee.numeric_std.all;
125
126use work.slvtypes.all;
127use work.serportlib.all;
128use work.rblib.all;
129use work.rbdlib.all;
130use work.rlinklib.all;
131use work.bpgenlib.all;
132use work.bpgenrbuslib.all;
133use work.sysmonrbuslib.all;
134use work.nxcramlib.all;
135use work.iblib.all;
136use work.ibdlib.all;
137use work.pdp11.all;
138use work.sys_conf.all;
139
140-- ----------------------------------------------------------------------------
141
142entity sys_w11a_n4 is -- top level
143 -- implements nexys4_cram_aif
144 port (
145 I_CLK100 : in slbit; -- 100 MHz clock
146 I_RXD : in slbit; -- receive data (board view)
147 O_TXD : out slbit; -- transmit data (board view)
148 O_RTS_N : out slbit; -- rx rts (board view; act.low)
149 I_CTS_N : in slbit; -- tx cts (board view; act.low)
150 I_SWI : in slv16; -- n4 switches
151 I_BTN : in slv5; -- n4 buttons
152 I_BTNRST_N : in slbit; -- n4 reset button
153 O_LED : out slv16; -- n4 leds
154 O_RGBLED0 : out slv3; -- n4 rgb-led 0
155 O_RGBLED1 : out slv3; -- n4 rgb-led 1
156 O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low)
157 O_SEG_N : out slv8; -- 7 segment disp: segments (act.low)
158 O_MEM_CE_N : out slbit; -- cram: chip enable (act.low)
159 O_MEM_BE_N : out slv2; -- cram: byte enables (act.low)
160 O_MEM_WE_N : out slbit; -- cram: write enable (act.low)
161 O_MEM_OE_N : out slbit; -- cram: output enable (act.low)
162 O_MEM_ADV_N : out slbit; -- cram: address valid (act.low)
163 O_MEM_CLK : out slbit; -- cram: clock
164 O_MEM_CRE : out slbit; -- cram: command register enable
165 I_MEM_WAIT : in slbit; -- cram: mem wait
166 O_MEM_ADDR : out slv23; -- cram: address lines
167 IO_MEM_DATA : inout slv16 -- cram: data lines
168 );
169end sys_w11a_n4;
170
171architecture syn of sys_w11a_n4 is
172
173 signal CLK : slbit := '0';
174
175 signal RESET : slbit := '0';
176 signal CE_USEC : slbit := '0';
177 signal CE_MSEC : slbit := '0';
178
179 signal CLKS : slbit := '0';
180 signal CES_MSEC : slbit := '0';
181
182 signal RXD : slbit := '1';
183 signal TXD : slbit := '0';
184 signal RTS_N : slbit := '0';
185 signal CTS_N : slbit := '0';
186
187 signal RB_MREQ : rb_mreq_type := rb_mreq_init;
188 signal RB_SRES : rb_sres_type := rb_sres_init;
189 signal RB_SRES_CPU : rb_sres_type := rb_sres_init;
190 signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
191 signal RB_SRES_SYSMON : rb_sres_type := rb_sres_init;
192 signal RB_SRES_USRACC : rb_sres_type := rb_sres_init;
193
194 signal RB_LAM : slv16 := (others=>'0');
195 signal RB_STAT : slv4 := (others=>'0');
196
197 signal SER_MONI : serport_moni_type := serport_moni_init;
198
199 signal GRESET : slbit := '0'; -- general reset (from rbus)
200 signal CRESET : slbit := '0'; -- cpu reset (from cp)
201 signal BRESET : slbit := '0'; -- bus reset (from cp or cpu)
202 signal PERFEXT : slv8 := (others=>'0');
203
204 signal EI_PRI : slv3 := (others=>'0');
205 signal EI_VECT : slv9_2 := (others=>'0');
206 signal EI_ACKM : slbit := '0';
207
208 signal CP_STAT : cp_stat_type := cp_stat_init;
209 signal DM_STAT_EXP : dm_stat_exp_type := dm_stat_exp_init;
210
211 signal MEM_REQ : slbit := '0';
212 signal MEM_WE : slbit := '0';
213 signal MEM_BUSY : slbit := '0';
214 signal MEM_ACK_R : slbit := '0';
215 signal MEM_ACT_R : slbit := '0';
216 signal MEM_ACT_W : slbit := '0';
217 signal MEM_ADDR : slv20 := (others=>'0');
218 signal MEM_BE : slv4 := (others=>'0');
219 signal MEM_DI : slv32 := (others=>'0');
220 signal MEM_DO : slv32 := (others=>'0');
221
222 signal MEM_ADDR_EXT : slv22 := (others=>'0');
223
224 signal IB_MREQ : ib_mreq_type := ib_mreq_init;
225 signal IB_SRES_IBDR : ib_sres_type := ib_sres_init;
226
227 signal DISPREG : slv16 := (others=>'0');
228 signal ABCLKDIV : slv16 := (others=>'0');
229
230 signal SWI : slv16 := (others=>'0');
231 signal BTN : slv5 := (others=>'0');
232 signal LED : slv16 := (others=>'0');
233 signal DSP_DAT : slv32 := (others=>'0');
234 signal DSP_DP : slv8 := (others=>'0');
235
236 constant rbaddr_rbmon : slv16 := x"ffe8"; -- ffe8/0008: 1111 1111 1110 1xxx
237 constant rbaddr_hio : slv16 := x"fef0"; -- fef0/0008: 1111 1110 1111 0xxx
238 constant rbaddr_sysmon: slv16 := x"fb00"; -- fb00/0080: 1111 1011 0xxx xxxx
239
240 constant sysid_proj : slv16 := x"0201"; -- w11a
241 constant sysid_board : slv8 := x"05"; -- nexys4
242 constant sysid_vers : slv8 := x"00";
243
244begin
245
246 assert (sys_conf_clksys mod 1000000) = 0
247 report "assert sys_conf_clksys on MHz grid"
248 severity failure;
249
250 GEN_CLKALL : s7_cmt_1ce1ce -- clock generator system ------------
251 generic map (
252 CLKIN_PERIOD => 10.0,
253 CLKIN_JITTER => 0.01,
254 STARTUP_WAIT => false,
255 CLK0_VCODIV => sys_conf_clksys_vcodivide,
256 CLK0_VCOMUL => sys_conf_clksys_vcomultiply,
257 CLK0_OUTDIV => sys_conf_clksys_outdivide,
258 CLK0_GENTYPE => sys_conf_clksys_gentype,
259 CLK0_CDUWIDTH => 7,
260 CLK0_USECDIV => sys_conf_clksys_mhz,
261 CLK0_MSECDIV => 1000,
262 CLK1_VCODIV => sys_conf_clkser_vcodivide,
263 CLK1_VCOMUL => sys_conf_clkser_vcomultiply,
264 CLK1_OUTDIV => sys_conf_clkser_outdivide,
265 CLK1_GENTYPE => sys_conf_clkser_gentype,
266 CLK1_CDUWIDTH => 7,
267 CLK1_USECDIV => sys_conf_clkser_mhz,
268 CLK1_MSECDIV => 1000)
269 port map (
270 CLKIN => I_CLK100,
271 CLK0 => CLK,
272 CE0_USEC => CE_USEC,
273 CE0_MSEC => CE_MSEC,
274 CLK1 => CLKS,
275 CE1_USEC => open,
276 CE1_MSEC => CES_MSEC,
277 LOCKED => open
278 );
279
280 IOB_RS232 : bp_rs232_4line_iob -- serport iob ----------------------
281 port map (
282 CLK => CLKS,
283 RXD => RXD,
284 TXD => TXD,
285 CTS_N => CTS_N,
286 RTS_N => RTS_N,
287 I_RXD => I_RXD,
288 O_TXD => O_TXD,
289 I_CTS_N => I_CTS_N,
290 O_RTS_N => O_RTS_N
291 );
292
293 RLINK : rlink_sp2c -- rlink for serport -----------------
294 generic map (
295 BTOWIDTH => 7, -- 128 cycles access timeout
296 RTAWIDTH => 12,
297 SYSID => sysid_proj & sysid_board & sysid_vers ,
298 IFAWIDTH => 5, -- 32 word input fifo
299 OFAWIDTH => 5, -- 32 word output fifo
300 ENAPIN_RLMON => sbcntl_sbf_rlmon,
301 ENAPIN_RBMON => sbcntl_sbf_rbmon,
302 CDWIDTH => 12,
303 CDINIT => sys_conf_ser2rri_cdinit,
304 RBMON_AWIDTH => sys_conf_rbmon_awidth,
305 RBMON_RBADDR => rbaddr_rbmon)
306 port map (
307 CLK => CLK,
308 CE_USEC => CE_USEC,
309 CE_MSEC => CE_MSEC,
310 CE_INT => CE_MSEC,
311 RESET => RESET,
312 CLKS => CLKS,
313 CES_MSEC => CES_MSEC,
314 ENAXON => SWI(1),
315 ESCFILL => '0',
316 RXSD => RXD,
317 TXSD => TXD,
318 CTS_N => CTS_N,
319 RTS_N => RTS_N,
320 RB_MREQ => RB_MREQ,
321 RB_SRES => RB_SRES,
322 RB_LAM => RB_LAM,
323 RB_STAT => RB_STAT,
324 RL_MONI => open,
325 SER_MONI => SER_MONI
326 );
327
328 PERFEXT(0) <= '0'; -- unused (ext_rdrhit)
329 PERFEXT(1) <= '0'; -- unused (ext_wrrhit)
330 PERFEXT(2) <= '0'; -- unused (ext_wrflush)
331 PERFEXT(3) <= SER_MONI.rxact; -- ext_rlrxact
332 PERFEXT(4) <= not SER_MONI.rxok; -- ext_rlrxback
333 PERFEXT(5) <= SER_MONI.txact; -- ext_rltxact
334 PERFEXT(6) <= not SER_MONI.txok; -- ext_rltxback
335 PERFEXT(7) <= CE_USEC; -- ext_usec
336
337 SYS70 : pdp11_sys70 -- 1 cpu system ----------------------
338 port map (
339 CLK => CLK,
340 RESET => RESET,
341 RB_MREQ => RB_MREQ,
342 RB_SRES => RB_SRES_CPU,
343 RB_STAT => RB_STAT,
344 RB_LAM_CPU => RB_LAM(0),
345 GRESET => GRESET,
346 CRESET => CRESET,
347 BRESET => BRESET,
348 CP_STAT => CP_STAT,
349 EI_PRI => EI_PRI,
350 EI_VECT => EI_VECT,
351 EI_ACKM => EI_ACKM,
352 PERFEXT => PERFEXT,
353 IB_MREQ => IB_MREQ,
354 IB_SRES => IB_SRES_IBDR,
355 MEM_REQ => MEM_REQ,
356 MEM_WE => MEM_WE,
357 MEM_BUSY => MEM_BUSY,
358 MEM_ACK_R => MEM_ACK_R,
359 MEM_ADDR => MEM_ADDR,
360 MEM_BE => MEM_BE,
361 MEM_DI => MEM_DI,
362 MEM_DO => MEM_DO,
363 DM_STAT_EXP => DM_STAT_EXP
364 );
365
366 IBDR_SYS : ibdr_maxisys -- IO system -------------------------
367 port map (
368 CLK => CLK,
369 CE_USEC => CE_USEC,
370 CE_MSEC => CE_MSEC,
371 RESET => GRESET,
372 BRESET => BRESET,
373 ITIMER => DM_STAT_EXP.se_itimer,
374 IDEC => DM_STAT_EXP.se_idec,
375 CPUSUSP => CP_STAT.cpususp,
376 RB_LAM => RB_LAM(15 downto 1),
377 IB_MREQ => IB_MREQ,
378 IB_SRES => IB_SRES_IBDR,
379 EI_ACKM => EI_ACKM,
380 EI_PRI => EI_PRI,
381 EI_VECT => EI_VECT,
382 DISPREG => DISPREG
383 );
384
385 MEM_ADDR_EXT <= "00" & MEM_ADDR; -- just use lower 4 MB (of 16 MB)
386
387 CRAMCTL: nx_cram_memctl_as -- memory controller -----------------
388 generic map (
389 READ0DELAY => sys_conf_memctl_read0delay,
390 READ1DELAY => sys_conf_memctl_read1delay,
391 WRITEDELAY => sys_conf_memctl_writedelay)
392 port map (
393 CLK => CLK,
394 RESET => GRESET,
395 REQ => MEM_REQ,
396 WE => MEM_WE,
397 BUSY => MEM_BUSY,
398 ACK_R => MEM_ACK_R,
399 ACK_W => open,
400 ACT_R => MEM_ACT_R,
401 ACT_W => MEM_ACT_W,
402 ADDR => MEM_ADDR_EXT,
403 BE => MEM_BE,
404 DI => MEM_DI,
405 DO => MEM_DO,
406 O_MEM_CE_N => O_MEM_CE_N,
407 O_MEM_BE_N => O_MEM_BE_N,
408 O_MEM_WE_N => O_MEM_WE_N,
409 O_MEM_OE_N => O_MEM_OE_N,
410 O_MEM_ADV_N => O_MEM_ADV_N,
411 O_MEM_CLK => O_MEM_CLK,
412 O_MEM_CRE => O_MEM_CRE,
413 I_MEM_WAIT => I_MEM_WAIT,
414 O_MEM_ADDR => O_MEM_ADDR,
415 IO_MEM_DATA => IO_MEM_DATA
416 );
417
418 LED_IO : ioleds_sp1c -- hio leds from serport -------------
419 port map (
420 SER_MONI => SER_MONI,
421 IOLEDS => DSP_DP(3 downto 0)
422 );
423 DSP_DP(7 downto 4) <= "0010";
424 ABCLKDIV <= SER_MONI.abclkdiv(11 downto 0) & '0' & SER_MONI.abclkdiv_f;
425
426 HIO70 : pdp11_hio70 -- hio from sys70 --------------------
427 generic map (
428 LWIDTH => LED'length,
429 DCWIDTH => 3)
430 port map (
431 SEL_LED => SWI(3),
432 SEL_DSP => SWI(5 downto 4),
433 MEM_ACT_R => MEM_ACT_R,
434 MEM_ACT_W => MEM_ACT_W,
435 CP_STAT => CP_STAT,
436 DM_STAT_EXP => DM_STAT_EXP,
437 ABCLKDIV => ABCLKDIV,
438 DISPREG => DISPREG,
439 LED => LED,
440 DSP_DAT => DSP_DAT
441 );
442
443 HIO : sn_humanio_rbus -- hio manager -----------------------
444 generic map (
445 SWIDTH => 16,
446 BWIDTH => 5,
447 LWIDTH => 16,
448 DCWIDTH => 3,
449 DEBOUNCE => sys_conf_hio_debounce,
450 RB_ADDR => rbaddr_hio)
451 port map (
452 CLK => CLK,
453 RESET => RESET,
454 CE_MSEC => CE_MSEC,
455 RB_MREQ => RB_MREQ,
456 RB_SRES => RB_SRES_HIO,
457 SWI => SWI,
458 BTN => BTN,
459 LED => LED,
460 DSP_DAT => DSP_DAT,
461 DSP_DP => DSP_DP,
462 I_SWI => I_SWI,
463 I_BTN => I_BTN,
464 O_LED => O_LED,
465 O_ANO_N => O_ANO_N,
466 O_SEG_N => O_SEG_N
467 );
468
469 SMRB : if sys_conf_rbd_sysmon generate
471 generic map ( -- use default INIT_ (Vccint=1.00)
472 CLK_MHZ => sys_conf_clksys_mhz,
474 port map (
475 CLK => CLK,
476 RESET => RESET,
477 RB_MREQ => RB_MREQ,
479 ALM => open,
480 OT => open,
481 TEMP => open
482 );
483 end generate SMRB;
484
485 UARB : rbd_usracc
486 port map (
487 CLK => CLK,
488 RB_MREQ => RB_MREQ,
490 );
491
492 RB_SRES_OR : rb_sres_or_4 -- rbus or ---------------------------
493 port map (
494 RB_SRES_1 => RB_SRES_CPU,
495 RB_SRES_2 => RB_SRES_HIO,
496 RB_SRES_3 => RB_SRES_SYSMON,
497 RB_SRES_4 => RB_SRES_USRACC,
498 RB_SRES_OR => RB_SRES
499 );
500
501 -- setup unused outputs in nexys4
502 O_RGBLED0 <= (others=>'0');
503 O_RGBLED1 <= (others=>not I_BTNRST_N);
504
505end syn;
Definition: iblib.vhd:33
Definition: pdp11.vhd:123
in CLK slbit
Definition: rbd_usracc.vhd:40
in RB_MREQ rb_mreq_type
Definition: rbd_usracc.vhd:41
out RB_SRES rb_sres_type
Definition: rbd_usracc.vhd:43
Definition: rblib.vhd:32
std_logic_vector( 22 downto 0) slv23
Definition: slvtypes.vhd:56
std_logic_vector( 19 downto 0) slv20
Definition: slvtypes.vhd:53
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 4 downto 0) slv5
Definition: slvtypes.vhd:37
std_logic_vector( 2 downto 0) slv3
Definition: slvtypes.vhd:35
std_logic_vector( 8 downto 2) slv9_2
Definition: slvtypes.vhd:65
std_logic_vector( 31 downto 0) slv32
Definition: slvtypes.vhd:59
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic_vector( 21 downto 0) slv22
Definition: slvtypes.vhd:55
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40
std_logic_vector( 1 downto 0) slv2
Definition: slvtypes.vhd:34
slbit := '0' CLKS
slv9_2 :=( others => '0') EI_VECT
slbit := '0' RESET
slbit := '0' GRESET
slv8 :=( others => '0') PERFEXT
slv16 := x"ffe8" rbaddr_rbmon
slv16 := x"fef0" rbaddr_hio
slbit := '0' EI_ACKM
slv16 :=( others => '0') DISPREG
slv32 :=( others => '0') DSP_DAT
ib_mreq_type := ib_mreq_init IB_MREQ
slbit := '0' MEM_WE
sn_humanio_rbus hiohio
slv3 :=( others => '0') EI_PRI
slbit := '0' MEM_ACT_R
slv8 := x"05" sysid_board
slv16 :=( others => '0') SWI
slv4 :=( others => '0') RB_STAT
slv32 :=( others => '0') MEM_DI
rb_sres_type := rb_sres_init RB_SRES_SYSMON
slbit := '0' MEM_BUSY
rb_mreq_type := rb_mreq_init RB_MREQ
serport_moni_type := serport_moni_init SER_MONI
slbit := '0' MEM_REQ
slbit := '0' CE_USEC
slbit := '1' RXD
pdp11_sys70 sys70sys70
slbit := '0' CE_MSEC
slbit := '0' MEM_ACT_W
slbit := '0' CES_MSEC
slv16 :=( others => '0') ABCLKDIV
slv32 :=( others => '0') MEM_DO
rlink_sp2c rlinkrlink
rb_sres_type := rb_sres_init RB_SRES
slv16 :=( others => '0') LED
cp_stat_type := cp_stat_init CP_STAT
slv8 := x"00" sysid_vers
slbit := '0' CLK
rb_sres_type := rb_sres_init RB_SRES_CPU
slv16 := x"0201" sysid_proj
slbit := '0' CTS_N
slv8 :=( others => '0') DSP_DP
slv22 :=( others => '0') MEM_ADDR_EXT
slbit := '0' BRESET
slv16 := x"fb00" rbaddr_sysmon
ib_sres_type := ib_sres_init IB_SRES_IBDR
rb_sres_type := rb_sres_init RB_SRES_USRACC
slv16 :=( others => '0') RB_LAM
rb_sres_type := rb_sres_init RB_SRES_HIO
slbit := '0' RTS_N
slbit := '0' MEM_ACK_R
slbit := '0' CRESET
slv4 :=( others => '0') MEM_BE
slv5 :=( others => '0') BTN
dm_stat_exp_type := dm_stat_exp_init DM_STAT_EXP
slbit := '0' TXD
slv20 :=( others => '0') MEM_ADDR
out O_TXD slbit
in I_RXD slbit
out O_LED slv16
in I_SWI slv16
in I_BTNRST_N slbit
out O_MEM_WE_N slbit
in I_BTN slv5
out O_MEM_CE_N slbit
out O_SEG_N slv8
out O_ANO_N slv8
in I_MEM_WAIT slbit
in I_CTS_N slbit
out O_RGBLED0 slv3
in I_CLK100 slbit
out O_MEM_OE_N slbit
out O_MEM_CLK slbit
out O_RTS_N slbit
out O_MEM_ADV_N slbit
out O_MEM_ADDR slv23
out O_MEM_BE_N slv2
inout IO_MEM_DATA slv16
out O_RGBLED1 slv3
out O_MEM_CRE slbit
CLK_MHZ integer := 250
RB_ADDR slv16 := x"fb00"
in RB_MREQ rb_mreq_type
out RB_SRES rb_sres_type
in RESET slbit := '0'