w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
rlink_mon_sb.vhd
Go to the documentation of this file.
1-- $Id: rlink_mon_sb.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: rlink_mon_sb - sim
7-- Description: simbus wrapper for rlink monitor
8--
9-- Dependencies: simbus
10-- simlib/simclkcnt
11-- rlink_mon
12-- Test bench: -
13-- Tool versions: xst 8.2-14.7; ghdl 0.18-0.31
14--
15-- Revision History:
16-- Date Rev Version Comment
17-- 2011-12-23 444 3.1 use simclkcnt instead of simbus global
18-- 2010-12-24 347 3.0.1 rename: CP_*->RL->*
19-- 2010-12-22 346 3.0 renamed rritb_cpmon_sb -> rlink_mon_sb
20-- 2010-05-02 287 1.0.1 use sbcntl_sbf_cpmon def
21-- 2007-08-25 75 1.0 Initial version
22------------------------------------------------------------------------------
23
24library ieee;
25use ieee.std_logic_1164.all;
26
27use work.slvtypes.all;
28use work.simlib.all;
29use work.simbus.all;
30use work.rlinklib.all;
31
32entity rlink_mon_sb is -- simbus wrap for rlink monitor
33 generic (
34 DWIDTH : positive := 9; -- data port width (8 or 9)
35 ENAPIN : integer := sbcntl_sbf_rlmon); -- SB_CNTL signal to use for enable
36 port (
37 CLK : in slbit; -- clock
38 RL_DI : in slv(DWIDTH-1 downto 0); -- rlink: data in
39 RL_ENA : in slbit; -- rlink: data enable
40 RL_BUSY : in slbit; -- rlink: data busy
41 RL_DO : in slv(DWIDTH-1 downto 0); -- rlink: data out
42 RL_VAL : in slbit; -- rlink: data valid
43 RL_HOLD : in slbit -- rlink: data hold
44 );
45end rlink_mon_sb;
46
47
48architecture sim of rlink_mon_sb is
49
50 signal ENA : slbit := '0';
51 signal CLK_CYCLE : integer := 0;
52
53begin
54
55 assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
56 report "assert(ENAPIN in SB_CNTL'range)" severity failure;
57
58 CLKCNT : simclkcnt port map (CLK => CLK, CLK_CYCLE => CLK_CYCLE);
59
60 ENA <= to_x01(SB_CNTL(ENAPIN));
61
62 CPMON : rlink_mon
63 generic map (
64 DWIDTH => DWIDTH)
65 port map (
66 CLK => CLK,
68 ENA => ENA,
69 RL_DI => RL_DI,
70 RL_ENA => RL_ENA,
72 RL_DO => RL_DO,
73 RL_VAL => RL_VAL,
75 );
76
77end sim;
out CLK_CYCLE integer
Definition: simclkcnt.vhd:29
in CLK slbit
Definition: simclkcnt.vhd:27
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector slv
Definition: slvtypes.vhd:31