w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
simbus Package Reference

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>

Signals

SB_SIMSTOP  slbit := ' L '
SB_CNTL  slv16 := ( others = > ' L ' )
SB_STAT  slv16 := ( others = > ' 0 ' )
SB_VAL  slbit := ' L '
SB_ADDR  slv8 := ( others = > ' L ' )
SB_DATA  slv16 := ( others = > ' L ' )

Detailed Description

Definition at line 25 of file simbus.vhd.

Member Data Documentation

◆ ieee

ieee
Library

Definition at line 20 of file simbus.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 21 of file simbus.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 23 of file simbus.vhd.

◆ SB_SIMSTOP

SB_SIMSTOP slbit := ' L '
Signal

Definition at line 27 of file simbus.vhd.

◆ SB_CNTL

SB_CNTL slv16 := ( others = > ' L ' )
Signal

Definition at line 28 of file simbus.vhd.

◆ SB_STAT

SB_STAT slv16 := ( others = > ' 0 ' )
Signal

Definition at line 29 of file simbus.vhd.

◆ SB_VAL

SB_VAL slbit := ' L '
Signal

Definition at line 30 of file simbus.vhd.

◆ SB_ADDR

SB_ADDR slv8 := ( others = > ' L ' )
Signal

Definition at line 31 of file simbus.vhd.

◆ SB_DATA

SB_DATA slv16 := ( others = > ' L ' )
Signal

Definition at line 32 of file simbus.vhd.


The documentation for this design unit was generated from the following file: