w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
simclkcnt Entity Reference
Inheritance diagram for simclkcnt:
[legend]

Entities

sim  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>

Ports

CLK   in   slbit
CLK_CYCLE   out   integer

Detailed Description

Definition at line 25 of file simclkcnt.vhd.

Member Data Documentation

◆ CLK

CLK in slbit
Port

Definition at line 27 of file simclkcnt.vhd.

◆ CLK_CYCLE

CLK_CYCLE out integer
Port

Definition at line 29 of file simclkcnt.vhd.

◆ ieee

ieee
Library

Definition at line 21 of file simclkcnt.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 22 of file simclkcnt.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 23 of file simclkcnt.vhd.


The documentation for this design unit was generated from the following file: