w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
pdp11_tmu_sb.vhd
Go to the documentation of this file.
1-- $Id: pdp11_tmu_sb.vhd 1348 2023-01-08 13:33:01Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2009-2023 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: pdp11_tmu - sim
7-- Description: pdp11: trace and monitor unit; simbus wrapper
8--
9-- Dependencies: simbus
10-- Test bench: -
11-- Tool versions: xst 8.1-14.7; viv 2016.2-2022.1; ghdl 0.18-2.0.0
12-- Revision History:
13-- Date Rev Version Comment
14-- 2023-01-08 1348 1.0.3 add port DM_STAT_SE
15-- 2018-10-05 1053 1.0.2 use DM_STAT_CA instead of DM_STAT_SY
16-- 2015-11-01 712 1.0.1 use sbcntl_sbf_tmu
17-- 2009-05-10 214 1.0 Initial version
18------------------------------------------------------------------------------
19
20library ieee;
21use ieee.std_logic_1164.all;
22
23use work.slvtypes.all;
24use work.simlib.all;
25use work.simbus.all;
26use work.pdp11.all;
27
28entity pdp11_tmu_sb is -- trace and mon. unit; simbus wrapper
29 generic (
30 ENAPIN : integer := sbcntl_sbf_tmu); -- SB_CNTL for tmu
31 port (
32 CLK : in slbit; -- clock
33 DM_STAT_DP : in dm_stat_dp_type; -- debug and monitor status - dpath
34 DM_STAT_SE : in dm_stat_se_type; -- debug and monitor status - sequencer
35 DM_STAT_VM : in dm_stat_vm_type; -- debug and monitor status - vmbox
36 DM_STAT_CO : in dm_stat_co_type; -- debug and monitor status - core
37 DM_STAT_CA : in dm_stat_ca_type -- debug and monitor status - cache
38 );
39end pdp11_tmu_sb;
40
41
42architecture sim of pdp11_tmu_sb is
43
44 signal ENA : slbit := '0';
45
46begin
47
48 assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
49 report "assert(ENAPIN in SB_CNTL'range)" severity failure;
50
51 ENA <= to_x01(SB_CNTL(ENAPIN));
52
53 CPMON : pdp11_tmu
54 port map (
55 CLK => CLK,
56 ENA => ENA,
62 );
63
64end sim;
slbit := '0' ENA
in DM_STAT_DP dm_stat_dp_type
in DM_STAT_CO dm_stat_co_type
in DM_STAT_SE dm_stat_se_type
in CLK slbit
in DM_STAT_VM dm_stat_vm_type
in DM_STAT_CA dm_stat_ca_type
ENAPIN integer := sbcntl_sbf_tmu
in DM_STAT_DP dm_stat_dp_type
Definition: pdp11_tmu.vhd:51
in DM_STAT_CO dm_stat_co_type
Definition: pdp11_tmu.vhd:54
in DM_STAT_SE dm_stat_se_type
Definition: pdp11_tmu.vhd:52
in CLK slbit
Definition: pdp11_tmu.vhd:49
in DM_STAT_VM dm_stat_vm_type
Definition: pdp11_tmu.vhd:53
in ENA slbit := '0'
Definition: pdp11_tmu.vhd:50
in DM_STAT_CA dm_stat_ca_type
Definition: pdp11_tmu.vhd:56
Definition: pdp11.vhd:123
std_logic slbit
Definition: slvtypes.vhd:30