w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
rb_sres_or_6.vhd
Go to the documentation of this file.
1-- $Id: rb_sres_or_6.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2016- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: rb_sres_or_6 - syn
7-- Description: rbus result or, 6 input
8--
9-- Dependencies: rb_sres_or_mon [sim only]
10-- Test bench: -
11-- Target Devices: generic
12-- Tool versions: xst 14.7; viv 2015.4; ghdl 0.33
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2016-04-02 758 1.0 Initial version
17-- 2016-03-12 741 0.1 First draft
18------------------------------------------------------------------------------
19
20library ieee;
21use ieee.std_logic_1164.all;
22
23use work.slvtypes.all;
24use work.rblib.all;
25
26-- ----------------------------------------------------------------------------
27
28entity rb_sres_or_6 is -- rbus result or, 6 input
29 port (
30 RB_SRES_1 : in rb_sres_type; -- rb_sres input 1
31 RB_SRES_2 : in rb_sres_type := rb_sres_init; -- rb_sres input 2
32 RB_SRES_3 : in rb_sres_type := rb_sres_init; -- rb_sres input 3
33 RB_SRES_4 : in rb_sres_type := rb_sres_init; -- rb_sres input 4
34 RB_SRES_5 : in rb_sres_type := rb_sres_init; -- rb_sres input 5
35 RB_SRES_6 : in rb_sres_type := rb_sres_init; -- rb_sres input 6
36 RB_SRES_OR : out rb_sres_type -- rb_sres or'ed output
37 );
38end rb_sres_or_6;
39
40architecture syn of rb_sres_or_6 is
41
42begin
43
44 proc_comb : process (RB_SRES_1, RB_SRES_2, RB_SRES_3,
46
47 begin
48
49 RB_SRES_OR.ack <= RB_SRES_1.ack or
50 RB_SRES_2.ack or
51 RB_SRES_3.ack or
52 RB_SRES_4.ack or
53 RB_SRES_5.ack or
54 RB_SRES_6.ack;
55 RB_SRES_OR.busy <= RB_SRES_1.busy or
56 RB_SRES_2.busy or
57 RB_SRES_3.busy or
58 RB_SRES_4.busy or
59 RB_SRES_5.busy or
60 RB_SRES_6.busy;
61 RB_SRES_OR.err <= RB_SRES_1.err or
62 RB_SRES_2.err or
63 RB_SRES_3.err or
64 RB_SRES_4.err or
65 RB_SRES_5.err or
66 RB_SRES_6.err;
67 RB_SRES_OR.dout <= RB_SRES_1.dout or
68 RB_SRES_2.dout or
69 RB_SRES_3.dout or
70 RB_SRES_4.dout or
71 RB_SRES_5.dout or
72 RB_SRES_6.dout;
73
74 end process proc_comb;
75
76-- synthesis translate_off
77 ORMON : rb_sres_or_mon
78 port map (
85 );
86-- synthesis translate_on
87
88end syn;
in RB_SRES_2 rb_sres_type := rb_sres_init
in RB_SRES_3 rb_sres_type := rb_sres_init
out RB_SRES_OR rb_sres_type
in RB_SRES_1 rb_sres_type
in RB_SRES_4 rb_sres_type := rb_sres_init
in RB_SRES_5 rb_sres_type := rb_sres_init
in RB_SRES_6 rb_sres_type := rb_sres_init
in RB_SRES_2 rb_sres_type
in RB_SRES_3 rb_sres_type := rb_sres_init
in RB_SRES_1 rb_sres_type
in RB_SRES_4 rb_sres_type := rb_sres_init
in RB_SRES_5 rb_sres_type := rb_sres_init
in RB_SRES_6 rb_sres_type := rb_sres_init
Definition: rblib.vhd:32