w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
s3board_fusp_dummy.vhd
Go to the documentation of this file.
1-- $Id: s3board_fusp_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2010- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: s3board_fusp_dummy - syn
7-- Description: s3board minimal target (base+fusp; serport loopback)
8--
9-- Dependencies: -
10-- To test: tb_s3board_fusp
11-- Target Devices: generic
12-- Tool versions: xst 11.4-14.7; ghdl 0.26-0.31
13-- Revision History:
14-- Date Rev Version Comment
15-- 2010-11-06 336 1.0.3 rename input pin CLK -> I_CLK50
16-- 2010-05-21 292 1.0.2 rename _PM1_ -> _FUSP_
17-- 2010-05-16 291 1.0.1 rename s3board_usp_dummy->s3board_fusp_dummy
18-- 2010-05-01 286 1.0 Initial version (derived from s3board_dummy)
19------------------------------------------------------------------------------
20
21library ieee;
22use ieee.std_logic_1164.all;
23
24use work.slvtypes.all;
25use work.s3boardlib.all;
26
27entity s3board_fusp_dummy is -- S3BOARD dummy (base+fusp; loopback)
28 -- implements s3board_fusp_aif
29 port (
30 I_CLK50 : in slbit; -- 50 MHz board clock
31 I_RXD : in slbit; -- receive data (board view)
32 O_TXD : out slbit; -- transmit data (board view)
33 I_SWI : in slv8; -- s3 switches
34 I_BTN : in slv4; -- s3 buttons
35 O_LED : out slv8; -- s3 leds
36 O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low)
37 O_SEG_N : out slv8; -- 7 segment disp: segments (act.low)
38 O_MEM_CE_N : out slv2; -- sram: chip enables (act.low)
39 O_MEM_BE_N : out slv4; -- sram: byte enables (act.low)
40 O_MEM_WE_N : out slbit; -- sram: write enable (act.low)
41 O_MEM_OE_N : out slbit; -- sram: output enable (act.low)
42 O_MEM_ADDR : out slv18; -- sram: address lines
43 IO_MEM_DATA : inout slv32; -- sram: data lines
44 O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n
45 I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n
46 I_FUSP_RXD : in slbit; -- fusp: rs232 rx
47 O_FUSP_TXD : out slbit -- fusp: rs232 tx
48 );
50
51architecture syn of s3board_fusp_dummy is
52
53begin
54
55 O_TXD <= I_RXD;
58
59 SRAM : s3_sram_dummy -- connect SRAM to protection dummy
60 port map (
61 O_MEM_CE_N => O_MEM_CE_N,
62 O_MEM_BE_N => O_MEM_BE_N,
63 O_MEM_WE_N => O_MEM_WE_N,
64 O_MEM_OE_N => O_MEM_OE_N,
65 O_MEM_ADDR => O_MEM_ADDR,
66 IO_MEM_DATA => IO_MEM_DATA
67 );
68
69end syn;
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 17 downto 0) slv18
Definition: slvtypes.vhd:51
std_logic_vector( 31 downto 0) slv32
Definition: slvtypes.vhd:59
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40
std_logic_vector( 1 downto 0) slv2
Definition: slvtypes.vhd:34