w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
s3board_fusp_dummy Entity Reference
Inheritance diagram for s3board_fusp_dummy:
[legend]
Collaboration diagram for s3board_fusp_dummy:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>
s3boardlib  Package <s3boardlib>

Ports

I_CLK50   in   slbit
I_RXD   in   slbit
O_TXD   out   slbit
I_SWI   in   slv8
I_BTN   in   slv4
O_LED   out   slv8
O_ANO_N   out   slv4
O_SEG_N   out   slv8
O_MEM_CE_N   out   slv2
O_MEM_BE_N   out   slv4
O_MEM_WE_N   out   slbit
O_MEM_OE_N   out   slbit
O_MEM_ADDR   out   slv18
IO_MEM_DATA   inout   slv32
O_FUSP_RTS_N   out   slbit
I_FUSP_CTS_N   in   slbit
I_FUSP_RXD   in   slbit
O_FUSP_TXD   out   slbit

Detailed Description

Definition at line 27 of file s3board_fusp_dummy.vhd.

Member Data Documentation

◆ I_CLK50

I_CLK50 in slbit
Port

Definition at line 30 of file s3board_fusp_dummy.vhd.

◆ I_RXD

I_RXD in slbit
Port

Definition at line 31 of file s3board_fusp_dummy.vhd.

◆ O_TXD

O_TXD out slbit
Port

Definition at line 32 of file s3board_fusp_dummy.vhd.

◆ I_SWI

I_SWI in slv8
Port

Definition at line 33 of file s3board_fusp_dummy.vhd.

◆ I_BTN

I_BTN in slv4
Port

Definition at line 34 of file s3board_fusp_dummy.vhd.

◆ O_LED

O_LED out slv8
Port

Definition at line 35 of file s3board_fusp_dummy.vhd.

◆ O_ANO_N

O_ANO_N out slv4
Port

Definition at line 36 of file s3board_fusp_dummy.vhd.

◆ O_SEG_N

O_SEG_N out slv8
Port

Definition at line 37 of file s3board_fusp_dummy.vhd.

◆ O_MEM_CE_N

O_MEM_CE_N out slv2
Port

Definition at line 38 of file s3board_fusp_dummy.vhd.

◆ O_MEM_BE_N

O_MEM_BE_N out slv4
Port

Definition at line 39 of file s3board_fusp_dummy.vhd.

◆ O_MEM_WE_N

O_MEM_WE_N out slbit
Port

Definition at line 40 of file s3board_fusp_dummy.vhd.

◆ O_MEM_OE_N

O_MEM_OE_N out slbit
Port

Definition at line 41 of file s3board_fusp_dummy.vhd.

◆ O_MEM_ADDR

O_MEM_ADDR out slv18
Port

Definition at line 42 of file s3board_fusp_dummy.vhd.

◆ IO_MEM_DATA

IO_MEM_DATA inout slv32
Port

Definition at line 43 of file s3board_fusp_dummy.vhd.

◆ O_FUSP_RTS_N

O_FUSP_RTS_N out slbit
Port

Definition at line 44 of file s3board_fusp_dummy.vhd.

◆ I_FUSP_CTS_N

Definition at line 45 of file s3board_fusp_dummy.vhd.

◆ I_FUSP_RXD

I_FUSP_RXD in slbit
Port

Definition at line 46 of file s3board_fusp_dummy.vhd.

◆ O_FUSP_TXD

O_FUSP_TXD out slbit
Port

Definition at line 48 of file s3board_fusp_dummy.vhd.

◆ ieee

ieee
Library

Definition at line 21 of file s3board_fusp_dummy.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 22 of file s3board_fusp_dummy.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 24 of file s3board_fusp_dummy.vhd.

◆ s3boardlib

s3boardlib
use clause

Definition at line 25 of file s3board_fusp_dummy.vhd.


The documentation for this design unit was generated from the following file: