w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
s3_sram_dummy.vhd
Go to the documentation of this file.
1-- $Id: s3_sram_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: s3_sram_dummy - syn
7-- Description: s3board: SRAM protection dummy
8--
9-- Dependencies: -
10-- Test bench: -
11-- Target Devices: generic
12-- Tool versions: xst 8.1-14.7; ghdl 0.18-0.31
13-- Revision History:
14-- Date Rev Version Comment
15-- 2010-04-17 278 1.0.2 renamed from sram_dummy
16-- 2007-12-09 101 1.0.1 use _N for active low
17-- 2007-12-08 100 1.0 Initial version
18------------------------------------------------------------------------------
19
20library ieee;
21use ieee.std_logic_1164.all;
22
23use work.slvtypes.all;
24
25entity s3_sram_dummy is -- SRAM protection dummy
26 port (
27 O_MEM_CE_N : out slv2; -- sram: chip enables (act.low)
28 O_MEM_BE_N : out slv4; -- sram: byte enables (act.low)
29 O_MEM_WE_N : out slbit; -- sram: write enable (act.low)
30 O_MEM_OE_N : out slbit; -- sram: output enable (act.low)
31 O_MEM_ADDR : out slv18; -- sram: address lines
32 IO_MEM_DATA : inout slv32 -- sram: data lines
33 );
35
36
37architecture syn of s3_sram_dummy is
38begin
39
40 O_MEM_CE_N <= "11"; -- disable sram chips
41 O_MEM_BE_N <= "1111";
42 O_MEM_WE_N <= '1';
43 O_MEM_OE_N <= '1';
44 O_MEM_ADDR <= (others=>'0');
45 IO_MEM_DATA <= (others=>'0');
46
47end syn;
inout IO_MEM_DATA slv32
out O_MEM_CE_N slv2
out O_MEM_WE_N slbit
out O_MEM_ADDR slv18
out O_MEM_BE_N slv4
out O_MEM_OE_N slbit
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 17 downto 0) slv18
Definition: slvtypes.vhd:51
std_logic_vector( 31 downto 0) slv32
Definition: slvtypes.vhd:59
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 1 downto 0) slv2
Definition: slvtypes.vhd:34