w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
iob_reg_i.vhd
Go to the documentation of this file.
1-- $Id: iob_reg_i.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: iob_reg_i - syn
7-- Description: Registered IOB, input only
8--
9-- Dependencies: -
10-- Test bench: -
11-- Target Devices: generic Spartan, Virtex
12-- Tool versions: ise 8.1-14.7; viv 2014.4; ghdl 0.18-0.31
13-- Revision History:
14-- Date Rev Version Comment
15-- 2007-12-16 101 1.0.1 add INIT generic port
16-- 2007-12-08 100 1.0 Initial version
17------------------------------------------------------------------------------
18
19library ieee;
20use ieee.std_logic_1164.all;
21
22use work.slvtypes.all;
23use work.xlib.all;
24
25entity iob_reg_i is -- registered IOB, input
26 generic (
27 INIT : slbit := '0'); -- initial state
28 port (
29 CLK : in slbit; -- clock
30 CE : in slbit := '1'; -- clock enable
31 DI : out slbit; -- input data
32 PAD : in slbit -- i/o pad
33 );
34end iob_reg_i;
35
36
37architecture syn of iob_reg_i is
38
39begin
40
41 IOB : iob_reg_i_gen
42 generic map (
43 DWIDTH => 1,
44 INIT => INIT)
45 port map (
46 CLK => CLK,
47 CE => CE,
48 DI(0) => DI,
49 PAD(0) => PAD
50 );
51
52end syn;
in CE slbit := '1'
INIT slbit := '0'
in CLK slbit
DWIDTH positive := 16
in PAD slbit
Definition: iob_reg_i.vhd:33
in CE slbit := '1'
Definition: iob_reg_i.vhd:30
INIT slbit := '0'
Definition: iob_reg_i.vhd:27
in CLK slbit
Definition: iob_reg_i.vhd:29
out DI slbit
Definition: iob_reg_i.vhd:31
std_logic slbit
Definition: slvtypes.vhd:30
Definition: xlib.vhd:35