w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
iob_reg_i Entity Reference
Inheritance diagram for iob_reg_i:
[legend]
Collaboration diagram for iob_reg_i:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>
xlib  Package <xlib>

Generics

INIT  slbit := ' 0 '

Ports

CLK   in   slbit
CE   in   slbit := ' 1 '
DI   out   slbit
PAD   in   slbit

Detailed Description

Definition at line 25 of file iob_reg_i.vhd.

Member Data Documentation

◆ INIT

INIT slbit := ' 0 '
Generic

Definition at line 27 of file iob_reg_i.vhd.

◆ CLK

CLK in slbit
Port

Definition at line 29 of file iob_reg_i.vhd.

◆ CE

CE in slbit := ' 1 '
Port

Definition at line 30 of file iob_reg_i.vhd.

◆ DI

DI out slbit
Port

Definition at line 31 of file iob_reg_i.vhd.

◆ PAD

PAD in slbit
Port

Definition at line 33 of file iob_reg_i.vhd.

◆ ieee

ieee
Library

Definition at line 19 of file iob_reg_i.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 20 of file iob_reg_i.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 22 of file iob_reg_i.vhd.

◆ xlib

xlib
use clause

Definition at line 23 of file iob_reg_i.vhd.


The documentation for this design unit was generated from the following file: