w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
fx2rlinklib Package Reference

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
rblib  Package <rblib>
rbdlib  Package <rbdlib>
rlinklib  Package <rlinklib>
serportlib  Package <serportlib>
fx2lib  Package <fx2lib>

Components

rlink_sp1c_fx2  <Entity rlink_sp1c_fx2>
ioleds_sp1c_fx2  <Entity ioleds_sp1c_fx2>

Detailed Description

Definition at line 31 of file fx2rlinklib.vhd.

Member Data Documentation

◆ ieee

ieee
Library

Definition at line 20 of file fx2rlinklib.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 21 of file fx2rlinklib.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 22 of file fx2rlinklib.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 24 of file fx2rlinklib.vhd.

◆ rblib

rblib
use clause

Definition at line 25 of file fx2rlinklib.vhd.

◆ rbdlib

rbdlib
use clause

Definition at line 26 of file fx2rlinklib.vhd.

◆ rlinklib

rlinklib
use clause

Definition at line 27 of file fx2rlinklib.vhd.

◆ serportlib

serportlib
use clause

Definition at line 28 of file fx2rlinklib.vhd.

◆ fx2lib

fx2lib
use clause

Definition at line 29 of file fx2rlinklib.vhd.

◆ rlink_sp1c_fx2

rlink_sp1c_fx2
Component

Definition at line 37 of file fx2rlinklib.vhd.

◆ ioleds_sp1c_fx2

ioleds_sp1c_fx2
Component

Definition at line 84 of file fx2rlinklib.vhd.


The documentation for this design unit was generated from the following file: