w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
ioleds_sp1c_fx2 Entity Reference
Inheritance diagram for ioleds_sp1c_fx2:
[legend]
Collaboration diagram for ioleds_sp1c_fx2:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
slvtypes  Package <slvtypes>
genlib  Package <genlib>
rblib  Package <rblib>
rlinklib  Package <rlinklib>
serportlib  Package <serportlib>

Ports

CLK   in   slbit
CE_USEC   in   slbit
RESET   in   slbit
ENAFX2   in   slbit
RB_SRES   in   rb_sres_type
RLB_MONI   in   rlb_moni_type
SER_MONI   in   serport_moni_type
IOLEDS   out   slv4

Detailed Description

Definition at line 31 of file ioleds_sp1c_fx2.vhd.

Member Data Documentation

◆ CLK

CLK in slbit
Port

Definition at line 33 of file ioleds_sp1c_fx2.vhd.

◆ CE_USEC

CE_USEC in slbit
Port

Definition at line 34 of file ioleds_sp1c_fx2.vhd.

◆ RESET

RESET in slbit
Port

Definition at line 35 of file ioleds_sp1c_fx2.vhd.

◆ ENAFX2

ENAFX2 in slbit
Port

Definition at line 36 of file ioleds_sp1c_fx2.vhd.

◆ RB_SRES

RB_SRES in rb_sres_type
Port

Definition at line 37 of file ioleds_sp1c_fx2.vhd.

◆ RLB_MONI

RLB_MONI in rlb_moni_type
Port

Definition at line 38 of file ioleds_sp1c_fx2.vhd.

◆ SER_MONI

SER_MONI in serport_moni_type
Port

Definition at line 39 of file ioleds_sp1c_fx2.vhd.

◆ IOLEDS

IOLEDS out slv4
Port

Definition at line 41 of file ioleds_sp1c_fx2.vhd.

◆ ieee

ieee
Library

Definition at line 21 of file ioleds_sp1c_fx2.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 22 of file ioleds_sp1c_fx2.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 23 of file ioleds_sp1c_fx2.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 25 of file ioleds_sp1c_fx2.vhd.

◆ genlib

genlib
use clause

Definition at line 26 of file ioleds_sp1c_fx2.vhd.

◆ rblib

rblib
use clause

Definition at line 27 of file ioleds_sp1c_fx2.vhd.

◆ rlinklib

rlinklib
use clause

Definition at line 28 of file ioleds_sp1c_fx2.vhd.

◆ serportlib

serportlib
use clause

Definition at line 29 of file ioleds_sp1c_fx2.vhd.


The documentation for this design unit was generated from the following file: