w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
usr_access_unisim.vhd
Go to the documentation of this file.
1-- $Id: usr_access_unisim.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2016- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: usr_access_unisim - syn
7-- Description: Wrapper for USR_ACCESS* entities
8--
9-- Dependencies: -
10-- Test bench: -
11-- Target Devices: generic Series-7
12-- Tool versions: viv 2015.4; ghdl 0.33
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2016-04-02 758 1.0 Initial version
17------------------------------------------------------------------------------
18
19library ieee;
20use ieee.std_logic_1164.all;
21
22library unisim;
23use unisim.vcomponents.ALL;
24
25use work.slvtypes.all;
26
27entity usr_access_unisim is -- wrapper for USR_ACCESS family
28 port (
29 DATA : out slv32 -- usr_access register value
30 );
32
33
34architecture syn of usr_access_unisim is
35
36 signal DATA_RAW : slv32 := (others=>'0');
37
38begin
39
40 UA : USR_ACCESSE2
41 port map (
42 CFGCLK => open,
43 DATA => DATA_RAW,
44 DATAVALID => open
45 );
46
47 -- the USR_ACCESSE2 simulation model unfortunately returns always 'UUUU'
48 -- no way to configure it for reasonable simulation behavior
49 -- there this sanitiser
50 proc_data: process (DATA_RAW)
51 variable idata : slv32 := (others=>'0');
52 begin
53 idata := to_x01(DATA_RAW);
54 if is_x(idata) then
55 idata := (others=>'0');
56 end if;
57 DATA <= idata;
58 end process proc_data;
59
60end syn;
std_logic_vector( 31 downto 0) slv32
Definition: slvtypes.vhd:59
slv32 :=( others => '0') DATA_RAW