w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
tbd_serport_uart_rxtx.vhd
Go to the documentation of this file.
1-- $Id: tbd_serport_uart_rxtx.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: tbd_serport_uart_rxtx - syn
7-- Description: Wrapper for serport_uart_rxtx to avoid records. It
8-- has a port interface which will not be modified by xst
9-- synthesis (no records, no generic port).
10--
11-- Dependencies: serport_uart_rxtx
12--
13-- To test: serport_uart_rxtx
14--
15-- Target Devices: generic
16--
17-- Synthesized (xst):
18-- Date Rev ise Target flop lutl lutm slic t peri
19-- 2007-10-27 92 9.2.02 J39 xc3s1000-4 69 122 0 - t 9.13
20-- 2007-10-27 92 9.1 J30 xc3s1000-4 69 122 0 - t 9.13
21-- 2007-10-27 92 8.2.03 I34 xc3s1000-4 73 152 0 81 s 9.30
22-- 2007-10-27 92 8.1.03 I27 xc3s1000-4 73 125 0 - s 9.30
23--
24-- Tool versions: xst 8.2-14.7; ghdl 0.18-0.31
25-- Revision History:
26-- Date Rev Version Comment
27-- 2007-10-21 91 1.0 Initial version
28------------------------------------------------------------------------------
29
30library ieee;
31use ieee.std_logic_1164.all;
32use ieee.numeric_std.all;
33
34use work.slvtypes.all;
35use work.serportlib.all;
36
37entity tbd_serport_uart_rxtx is -- serial port uart [tb design]
38 -- generic: CDWIDTH=13
39 port (
40 CLK : in slbit; -- clock
41 RESET : in slbit; -- reset
42 CLKDIV : in slv13; -- clock divider setting
43 RXSD : in slbit; -- receive serial data (uart view)
44 RXDATA : out slv8; -- receiver data out
45 RXVAL : out slbit; -- receiver data valid
46 RXERR : out slbit; -- receiver data error (frame error)
47 RXACT : out slbit; -- receiver active
48 TXSD : out slbit; -- transmit serial data (uart view)
49 TXDATA : in slv8; -- transmit data in
50 TXENA : in slbit; -- transmit data enable
51 TXBUSY : out slbit -- transmit busy
52 );
54
55
56architecture syn of tbd_serport_uart_rxtx is
57
58begin
59
61 generic map (
62 CDWIDTH => 13)
63 port map (
64 CLK => CLK,
65 RESET => RESET,
66 CLKDIV => CLKDIV,
67 RXSD => RXSD,
68 RXDATA => RXDATA,
69 RXVAL => RXVAL,
70 RXERR => RXERR,
71 RXACT => RXACT,
72 TXSD => TXSD,
73 TXDATA => TXDATA,
74 TXENA => TXENA,
75 TXBUSY => TXBUSY
76 );
77
78end syn;
CDWIDTH positive := 13
in CLKDIV slv( CDWIDTH- 1 downto 0)
std_logic_vector( 12 downto 0) slv13
Definition: slvtypes.vhd:45
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40