w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
serport_uart_rxtx.vhd
Go to the documentation of this file.
1-- $Id: serport_uart_rxtx.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: serport_uart_rxtx - syn
7-- Description: serial port UART - transmitter + receiver
8--
9-- Dependencies: serport_uart_rx
10-- serport_uart_tx
11-- Test bench: tb/tb_serport_uart_rxtx
12-- Target Devices: generic
13-- Tool versions: ise 8.2-14.7; viv 2014.4-2016.2; ghdl 0.18-0.33
14-- Revision History:
15-- Date Rev Version Comment
16-- 2007-06-24 60 1.0 Initial version
17------------------------------------------------------------------------------
18-- NOTE: for test bench usage a copy of all serport_* entities, with _tb
19-- !!!! appended to the name, has been created in the /tb sub folder.
20-- !!!! Ensure to update the copy when this file is changed !!
21
22library ieee;
23use ieee.std_logic_1164.all;
24use ieee.numeric_std.all;
25
26use work.slvtypes.all;
27use work.serportlib.all;
28
29entity serport_uart_rxtx is -- serial port uart: rx+tx combo
30 generic (
31 CDWIDTH : positive := 13); -- clk divider width
32 port (
33 CLK : in slbit; -- clock
34 RESET : in slbit; -- reset
35 CLKDIV : in slv(CDWIDTH-1 downto 0); -- clock divider setting
36 RXSD : in slbit; -- receive serial data (uart view)
37 RXDATA : out slv8; -- receiver data out
38 RXVAL : out slbit; -- receiver data valid
39 RXERR : out slbit; -- receiver data error (frame error)
40 RXACT : out slbit; -- receiver active
41 TXSD : out slbit; -- transmit serial data (uart view)
42 TXDATA : in slv8; -- transmit data in
43 TXENA : in slbit; -- transmit data enable
44 TXBUSY : out slbit -- transmit busy
45 );
47
48architecture syn of serport_uart_rxtx is
49
50begin
51
53 generic map (
55 port map (
56 CLK => CLK,
57 RESET => RESET,
58 CLKDIV => CLKDIV,
59 RXSD => RXSD,
60 RXDATA => RXDATA,
61 RXVAL => RXVAL,
62 RXERR => RXERR,
63 RXACT => RXACT
64 );
65
67 generic map (
69 port map (
70 CLK => CLK,
71 RESET => RESET,
72 CLKDIV => CLKDIV,
73 TXSD => TXSD,
74 TXDATA => TXDATA,
75 TXENA => TXENA,
76 TXBUSY => TXBUSY
77 );
78
79end syn;
CDWIDTH positive := 13
in CLKDIV slv( CDWIDTH- 1 downto 0)
CDWIDTH positive := 13
in CLKDIV slv( CDWIDTH- 1 downto 0)
CDWIDTH positive := 13
in CLKDIV slv( CDWIDTH- 1 downto 0)
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40
std_logic_vector slv
Definition: slvtypes.vhd:31