w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
tbd_cdata2byte.vhd
Go to the documentation of this file.
1-- $Id: tbd_cdata2byte.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2014- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: tbd_cdata2byte - syn
7-- Description: Wrapper for cdata2byte + byte2cdata.
8--
9-- Dependencies: cdata2byte
10-- byte2cdata
11--
12-- To test: cdata2byte
13-- byte2cdata
14--
15-- Target Devices: generic
16--
17-- Synthesized (xst):
18-- Date Rev ise Target flop lutl lutm slic t peri
19-- 2014-10-18 597 14.7 xc6slx16 25 67 0 28 s 3.56
20--
21-- Tool versions: xst 14.7; ghdl 0.31
22-- Revision History:
23-- Date Rev Version Comment
24-- 2014-10-18 597 1.0 Initial version
25------------------------------------------------------------------------------
26
27library ieee;
28use ieee.std_logic_1164.all;
29use ieee.numeric_std.all;
30
31use work.slvtypes.all;
32use work.comlib.all;
33
34entity tbd_cdata2byte is -- cdata2byte + byte2cdata [tb design]
35 port (
36 CLK : in slbit; -- clock
37 RESET : in slbit; -- reset
38 C2B_ESCXON : in slbit; -- c2b: enable xon/xoff escaping
39 C2B_ESCFILL : in slbit; -- c2b: enable fill escaping
40 C2B_DI : in slv9; -- c2b: input data; bit 8 = comma flag
41 C2B_ENA : in slbit; -- c2b: input data enable
42 C2B_BUSY : out slbit; -- c2b: input data busy
43 C2B_DO : out slv8; -- c2b: output data
44 C2B_VAL : out slbit; -- c2b: output data valid
45 B2C_BUSY : out slbit; -- b2c: input data busy
46 B2C_DO : out slv9; -- b2c: output data; bit 8 = comma flag
47 B2C_VAL : out slbit; -- b2c: output data valid
48 B2C_HOLD : in slbit -- b2c: output data hold
49 );
51
52
53architecture syn of tbd_cdata2byte is
54
55 signal C2B_DO_L : slv8 := (others=>'0');
56 signal C2B_VAL_L : slbit := '0';
57 signal B2C_BUSY_L : slbit := '0';
58
59begin
60
61 C2B : cdata2byte
62 port map (
63 CLK => CLK,
64 RESET => RESET,
67 DI => C2B_DI,
68 ENA => C2B_ENA,
69 BUSY => C2B_BUSY,
70 DO => C2B_DO_L,
71 VAL => C2B_VAL_L,
73 );
74
75 B2C : byte2cdata
76 port map (
77 CLK => CLK,
78 RESET => RESET,
79 DI => C2B_DO_L,
80 ENA => C2B_VAL_L,
81 ERR => '0',
83 DO => B2C_DO,
84 VAL => B2C_VAL,
85 HOLD => B2C_HOLD
86 );
87
91
92end syn;
in RESET slbit
Definition: byte2cdata.vhd:32
in ENA slbit
Definition: byte2cdata.vhd:34
out DO slv9
Definition: byte2cdata.vhd:37
out BUSY slbit
Definition: byte2cdata.vhd:36
in HOLD slbit
Definition: byte2cdata.vhd:40
in ERR slbit
Definition: byte2cdata.vhd:35
in CLK slbit
Definition: byte2cdata.vhd:31
in DI slv8
Definition: byte2cdata.vhd:33
out VAL slbit
Definition: byte2cdata.vhd:38
in RESET slbit
Definition: cdata2byte.vhd:32
in ENA slbit
Definition: cdata2byte.vhd:36
out BUSY slbit
Definition: cdata2byte.vhd:37
in DI slv9
Definition: cdata2byte.vhd:35
in HOLD slbit
Definition: cdata2byte.vhd:41
in CLK slbit
Definition: cdata2byte.vhd:31
in ESCXON slbit
Definition: cdata2byte.vhd:33
out DO slv8
Definition: cdata2byte.vhd:38
out VAL slbit
Definition: cdata2byte.vhd:39
in ESCFILL slbit
Definition: cdata2byte.vhd:34
std_logic_vector( 8 downto 0) slv9
Definition: slvtypes.vhd:41
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40
slbit := '0' C2B_VAL_L
slbit := '0' B2C_BUSY_L
slv8 :=( others => '0') C2B_DO_L
out C2B_DO slv8
out B2C_DO slv9
in C2B_ESCFILL slbit
out B2C_BUSY slbit
in B2C_HOLD slbit
out C2B_VAL slbit
out B2C_VAL slbit
out C2B_BUSY slbit
in C2B_ENA slbit
in C2B_ESCXON slbit