w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
syn Architecture Reference
Architecture >> syn

Signals

C2B_DO_L  slv8 := ( others = > ' 0 ' )
C2B_VAL_L  slbit := ' 0 '
B2C_BUSY_L  slbit := ' 0 '

Instantiations

c2b  cdata2byte <Entity cdata2byte>
b2c  byte2cdata <Entity byte2cdata>

Detailed Description

Definition at line 53 of file tbd_cdata2byte.vhd.

Member Data Documentation

◆ C2B_DO_L

C2B_DO_L slv8 := ( others = > ' 0 ' )
Signal

Definition at line 55 of file tbd_cdata2byte.vhd.

◆ C2B_VAL_L

C2B_VAL_L slbit := ' 0 '
Signal

Definition at line 56 of file tbd_cdata2byte.vhd.

◆ B2C_BUSY_L

B2C_BUSY_L slbit := ' 0 '
Signal

Definition at line 57 of file tbd_cdata2byte.vhd.

◆ c2b

c2b cdata2byte
Instantiation

Definition at line 73 of file tbd_cdata2byte.vhd.

◆ b2c

b2c byte2cdata
Instantiation

Definition at line 86 of file tbd_cdata2byte.vhd.


The documentation for this design unit was generated from the following file: