w11 - vhd 0.791
W11 CPU core and support modules
sys_tst_snhumanio_atlys.vhd
Go to the documentation of this file.
1-- $Id: sys_tst_snhumanio_atlys.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: sys_tst_snhumanio_atlys - syn
7-- Description: snhumanio tester design for atlys
8--
9-- Dependencies: vlib/genlib/clkdivce
10-- bplib/bpgen/sn_humanio_demu
11-- tst_snhumanio
12--
13-- Test bench: -
14--
15-- Target Devices: generic
16-- Tool versions: xst 13.1-14.7; ghdl 0.29-0.31
17--
18-- Synthesized (xst):
19-- Date Rev ise Target flop lutl lutm slic t peri
20-- 2011-10-11 414 13.1 O40d xc6slx45 166 196 - 60 t 4.9
21--
22-- Revision History:
23-- Date Rev Version Comment
24-- 2011-10-11 414 1.0 Initial version
25------------------------------------------------------------------------------
26-- Usage of Atlys Switches, Buttons, LEDs:
27--
28
29library ieee;
30use ieee.std_logic_1164.all;
31
32use work.slvtypes.all;
33use work.genlib.all;
34use work.bpgenlib.all;
35use work.sys_conf.all;
36
37-- ----------------------------------------------------------------------------
38
39entity sys_tst_snhumanio_atlys is -- top level
40 -- implements atlys_aif
41 port (
42 I_CLK100 : in slbit; -- 100 MHz clock
43-- O_CLKSYS : out slbit; -- DCM derived system clock
44 I_USB_RXD : in slbit; -- USB UART receive data (board view)
45 O_USB_TXD : out slbit; -- USB UART transmit data (board view)
46 I_HIO_SWI : in slv8; -- atlys hio switches
47 I_HIO_BTN : in slv6; -- atlys hio buttons
48 O_HIO_LED: out slv8; -- atlys hio leds
49 O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n
50 I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n
51 I_FUSP_RXD : in slbit; -- fusp: rs232 rx
52 O_FUSP_TXD : out slbit -- fusp: rs232 tx
53 );
55
56architecture syn of sys_tst_snhumanio_atlys is
57
58 signal CLK : slbit := '0';
59
60 signal SWI : slv8 := (others=>'0');
61 signal BTN : slv4 := (others=>'0');
62 signal LED : slv8 := (others=>'0');
63 signal DSP_DAT : slv16 := (others=>'0');
64 signal DSP_DP : slv4 := (others=>'0');
65
66 signal RESET : slbit := '0';
67 signal CE_MSEC : slbit := '0';
68
69begin
70
71 RESET <= '0'; -- so far not used
72
73 CLK <= I_CLK100;
74
75 CLKDIV : clkdivce
76 generic map (
77 CDUWIDTH => 7,
78 USECDIV => 100,
79 MSECDIV => 1000)
80 port map (
81 CLK => CLK,
82 CE_USEC => open,
84 );
85
86 HIO : sn_humanio_demu
87 generic map (
88 DEBOUNCE => sys_conf_hio_debounce)
89 port map (
90 CLK => CLK,
91 RESET => RESET,
93 SWI => SWI,
94 BTN => BTN,
95 LED => LED,
96 DSP_DAT => DSP_DAT,
97 DSP_DP => DSP_DP,
98 I_SWI => I_HIO_SWI,
101 );
102
103 HIOTEST : entity work.tst_snhumanio
104 generic map (
105 BWIDTH => 4)
106 port map (
107 CLK => CLK,
108 RESET => RESET,
109 CE_MSEC => CE_MSEC,
110 SWI => SWI,
111 BTN => BTN,
112 LED => LED,
113 DSP_DAT => DSP_DAT,
114 DSP_DP => DSP_DP
115 );
116
120
121end syn;
out CE_MSEC slbit
Definition: clkdivce.vhd:39
USECDIV positive := 50
Definition: clkdivce.vhd:33
CDUWIDTH positive := 6
Definition: clkdivce.vhd:32
out CE_USEC slbit
Definition: clkdivce.vhd:37
MSECDIV positive := 1000
Definition: clkdivce.vhd:34
in CLK slbit
Definition: clkdivce.vhd:36
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 5 downto 0) slv6
Definition: slvtypes.vhd:38
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40
DEBOUNCE boolean := true
in RESET slbit := '0'
slv16 :=( others => '0') DSP_DAT
in RESET slbit
out DSP_DP slv4
in CLK slbit
in BTN slv( BWIDTH- 1 downto 0)
BWIDTH positive := 4
out DSP_DAT slv16
out LED slv8
in CE_MSEC slbit