28use ieee.std_logic_1164.
all;
29use ieee.numeric_std.
all;
105 if rising_edge(CLK) then
113 end process proc_regs;
120 variable ibtn : slv4 := (others=>'0');
121 variable iup : slbit := '0';
122 variable idn : slbit := '0';
123 variable ipuls : slbit := '0';
142 if iup='0' and idn='0' then
143 n.cnt := (others=>'0');
146 n.cnt := slv(unsigned(r.cnt) + 1);
147 if r.cnt = "111111111" then
153 if iup='1' or idn='1' then
154 n.led := (others=>'0');
163 if iup='1' and (r.up_1='0' or ipuls='1') then
164 n.mode := slv(unsigned(r.mode) + 1);
165 elsif idn='1' and (r.dn_1='0' or ipuls='1') then
166 n.mode := slv(unsigned(r.mode) - 1);
184 end process proc_next;
out O_LED slv( LWIDTH- 1 downto 0)
out SWI slv( SWIDTH- 1 downto 0)
in I_BTN slv( BWIDTH- 1 downto 0)
in I_SWI slv( SWIDTH- 1 downto 0)
out BTN slv( BWIDTH- 1 downto 0)
in LED slv( LWIDTH- 1 downto 0)
std_logic_vector( 3 downto 0) slv4
std_logic_vector( 8 downto 0) slv9
std_logic_vector( 15 downto 0) slv16
std_logic_vector( 5 downto 0) slv6
std_logic_vector( 7 downto 0) slv8
std_logic_vector( 1 downto 0) slv2
slv6 :=( others => '0') BTN_HW
regs_type := regs_init N_REGS
regs_type :=( c_mode_led,( others => '0'), '0', '0',( others => '0')) regs_init
regs_type := regs_init R_REGS
slv8 :=( others => '0') LED_HW