w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
serportlib_tb.vhd
Go to the documentation of this file.
1-- $Id: serportlib_tb.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: serportlib_tb
7-- Description: serial port interface components (SIM only!)
8--
9-- Dependencies: -
10-- Tool versions: ghdl 0.18-0.31
11--
12-- Revision History:
13-- Date Rev Version Comment
14-- 2016-01-03 724 1.0 Initial version (copied from serportlib)
15------------------------------------------------------------------------------
16
17library ieee;
18use ieee.std_logic_1164.all;
19
20use work.slvtypes.all;
21
22package serportlib_tb is
23
24 -- here only constant definitions
25 -- no component defintions, use direct instantiation !
26
27 constant c_serport_xon : slv8 := "00010001"; -- char xon: ^Q = hex 11
28 constant c_serport_xoff : slv8 := "00010011"; -- char xoff ^S = hex 13
29 constant c_serport_xesc : slv8 := "00011011"; -- char xesc ^[ = ESC = hex 1B
30
31end package serportlib_tb;
slv8 := "00011011" c_serport_xesc
slv8 := "00010011" c_serport_xoff
slv8 := "00010001" c_serport_xon
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40