w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
serportlib_tb Package Reference

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>

Constants

c_serport_xon  slv8 := " 00010001 "
c_serport_xoff  slv8 := " 00010011 "
c_serport_xesc  slv8 := " 00011011 "

Detailed Description

Definition at line 22 of file serportlib_tb.vhd.

Member Data Documentation

◆ ieee

ieee
Library

Definition at line 17 of file serportlib_tb.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 18 of file serportlib_tb.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 20 of file serportlib_tb.vhd.

◆ c_serport_xon

c_serport_xon slv8 := " 00010001 "
Constant

Definition at line 27 of file serportlib_tb.vhd.

◆ c_serport_xoff

c_serport_xoff slv8 := " 00010011 "
Constant

Definition at line 28 of file serportlib_tb.vhd.

◆ c_serport_xesc

c_serport_xesc slv8 := " 00011011 "
Constant

Definition at line 29 of file serportlib_tb.vhd.


The documentation for this design unit was generated from the following file: