w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
rlink_rlbmux.vhd
Go to the documentation of this file.
1-- $Id: rlink_rlbmux.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2012- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: rlink_rlbmux - syn
7-- Description: rlink rlb multiplexer
8--
9-- Dependencies: -
10-- Test bench: -
11-- Tool versions: xst 13.3-14.7; ghdl 0.29-0.31
12--
13-- Revision History:
14-- Date Rev Version Comment
15-- 2012-12-29 466 1.0 Initial version
16------------------------------------------------------------------------------
17
18library ieee;
19use ieee.std_logic_1164.all;
20use ieee.numeric_std.all;
21use ieee.std_logic_textio.all;
22use std.textio.all;
23
24use work.slvtypes.all;
25
26entity rlink_rlbmux is -- rlink rlb multiplexer
27 port (
28 SEL : in slbit; -- port select (0:RLB<->P0; 1:RLB<->P1)
29 RLB_DI : out slv8; -- rlb: data in
30 RLB_ENA : out slbit; -- rlb: data enable
31 RLB_BUSY : in slbit; -- rlb: data busy
32 RLB_DO : in slv8; -- rlb: data out
33 RLB_VAL : in slbit; -- rlb: data valid
34 RLB_HOLD : out slbit; -- rlb: data hold
35 P0_RXDATA : in slv8; -- p0: rx data
36 P0_RXVAL : in slbit; -- p0: rx valid
37 P0_RXHOLD : out slbit; -- p0: rx hold
38 P0_TXDATA : out slv8; -- p0: tx data
39 P0_TXENA : out slbit; -- p0: tx enable
40 P0_TXBUSY : in slbit; -- p0: tx busy
41 P1_RXDATA : in slv8; -- p1: rx data
42 P1_RXVAL : in slbit; -- p1: rx valid
43 P1_RXHOLD : out slbit; -- p1: rx hold
44 P1_TXDATA : out slv8; -- p1: tx data
45 P1_TXENA : out slbit; -- p1: tx enable
46 P1_TXBUSY : in slbit -- p1: tx busy
47 );
48end rlink_rlbmux;
49
50
51architecture syn of rlink_rlbmux is
52
53begin
54
55 proc_rlmux : process (SEL, RLB_DO, RLB_VAL, RLB_BUSY,
58 begin
59
62
63 if SEL = '0' then
69 P1_RXHOLD <= '0';
70 P1_TXENA <= '0';
71 else
77 P0_RXHOLD <= '0';
78 P0_TXENA <= '0';
79 end if;
80
81 end process proc_rlmux;
82
83end syn;
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40