w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
rlink_rlbmux Entity Reference
Inheritance diagram for rlink_rlbmux:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 
std_logic_textio 
textio 
slvtypes  Package <slvtypes>

Ports

SEL   in   slbit
RLB_DI   out   slv8
RLB_ENA   out   slbit
RLB_BUSY   in   slbit
RLB_DO   in   slv8
RLB_VAL   in   slbit
RLB_HOLD   out   slbit
P0_RXDATA   in   slv8
P0_RXVAL   in   slbit
P0_RXHOLD   out   slbit
P0_TXDATA   out   slv8
P0_TXENA   out   slbit
P0_TXBUSY   in   slbit
P1_RXDATA   in   slv8
P1_RXVAL   in   slbit
P1_RXHOLD   out   slbit
P1_TXDATA   out   slv8
P1_TXENA   out   slbit
P1_TXBUSY   in   slbit

Detailed Description

Definition at line 26 of file rlink_rlbmux.vhd.

Member Data Documentation

◆ SEL

SEL in slbit
Port

Definition at line 28 of file rlink_rlbmux.vhd.

◆ RLB_DI

RLB_DI out slv8
Port

Definition at line 29 of file rlink_rlbmux.vhd.

◆ RLB_ENA

RLB_ENA out slbit
Port

Definition at line 30 of file rlink_rlbmux.vhd.

◆ RLB_BUSY

RLB_BUSY in slbit
Port

Definition at line 31 of file rlink_rlbmux.vhd.

◆ RLB_DO

RLB_DO in slv8
Port

Definition at line 32 of file rlink_rlbmux.vhd.

◆ RLB_VAL

RLB_VAL in slbit
Port

Definition at line 33 of file rlink_rlbmux.vhd.

◆ RLB_HOLD

RLB_HOLD out slbit
Port

Definition at line 34 of file rlink_rlbmux.vhd.

◆ P0_RXDATA

P0_RXDATA in slv8
Port

Definition at line 35 of file rlink_rlbmux.vhd.

◆ P0_RXVAL

P0_RXVAL in slbit
Port

Definition at line 36 of file rlink_rlbmux.vhd.

◆ P0_RXHOLD

P0_RXHOLD out slbit
Port

Definition at line 37 of file rlink_rlbmux.vhd.

◆ P0_TXDATA

P0_TXDATA out slv8
Port

Definition at line 38 of file rlink_rlbmux.vhd.

◆ P0_TXENA

P0_TXENA out slbit
Port

Definition at line 39 of file rlink_rlbmux.vhd.

◆ P0_TXBUSY

P0_TXBUSY in slbit
Port

Definition at line 40 of file rlink_rlbmux.vhd.

◆ P1_RXDATA

P1_RXDATA in slv8
Port

Definition at line 41 of file rlink_rlbmux.vhd.

◆ P1_RXVAL

P1_RXVAL in slbit
Port

Definition at line 42 of file rlink_rlbmux.vhd.

◆ P1_RXHOLD

P1_RXHOLD out slbit
Port

Definition at line 43 of file rlink_rlbmux.vhd.

◆ P1_TXDATA

P1_TXDATA out slv8
Port

Definition at line 44 of file rlink_rlbmux.vhd.

◆ P1_TXENA

P1_TXENA out slbit
Port

Definition at line 45 of file rlink_rlbmux.vhd.

◆ P1_TXBUSY

P1_TXBUSY in slbit
Port

Definition at line 47 of file rlink_rlbmux.vhd.

◆ ieee

ieee
Library

Definition at line 18 of file rlink_rlbmux.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 19 of file rlink_rlbmux.vhd.

◆ numeric_std

numeric_std
use clause

Definition at line 20 of file rlink_rlbmux.vhd.

◆ std_logic_textio

std_logic_textio
use clause

Definition at line 21 of file rlink_rlbmux.vhd.

◆ textio

textio
use clause

Definition at line 22 of file rlink_rlbmux.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 24 of file rlink_rlbmux.vhd.


The documentation for this design unit was generated from the following file: