w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
nexys2_dummy.vhd
Go to the documentation of this file.
1-- $Id: nexys2_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: nexys2_dummy - syn
7-- Description: nexys2 minimal target (base; serport loopback)
8--
9-- Dependencies: -
10-- To test: tb_nexys2
11-- Target Devices: generic
12-- Tool versions: xst 11.4, 12.1, 13.1; ghdl 0.26-0.29
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2011-12-23 444 1.3 remove clksys output hack
17-- 2011-11-26 433 1.2 use nxcramlib
18-- 2011-11-23 432 1.1 remove O_FLA_CE_N port from n2_cram_dummy
19-- 2010-11-13 338 1.0.2 add O_CLKSYS (for DCM derived system clock)
20-- 2010-11-06 336 1.0.1 rename input pin CLK -> I_CLK50
21-- 2010-05-23 294 1.0 Initial version (derived from s3board_dummy)
22------------------------------------------------------------------------------
23
24library ieee;
25use ieee.std_logic_1164.all;
26
27use work.slvtypes.all;
28use work.nxcramlib.all;
29
30entity nexys2_dummy is -- NEXYS 2 dummy (base; loopback)
31 -- implements nexys2_aif
32 port (
33 I_CLK50 : in slbit; -- 50 MHz board clock
34 I_RXD : in slbit; -- receive data (board view)
35 O_TXD : out slbit; -- transmit data (board view)
36 I_SWI : in slv8; -- n2 switches
37 I_BTN : in slv4; -- n2 buttons
38 O_LED : out slv8; -- n2 leds
39 O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low)
40 O_SEG_N : out slv8; -- 7 segment disp: segments (act.low)
41 O_MEM_CE_N : out slbit; -- cram: chip enable (act.low)
42 O_MEM_BE_N : out slv2; -- cram: byte enables (act.low)
43 O_MEM_WE_N : out slbit; -- cram: write enable (act.low)
44 O_MEM_OE_N : out slbit; -- cram: output enable (act.low)
45 O_MEM_ADV_N : out slbit; -- cram: address valid (act.low)
46 O_MEM_CLK : out slbit; -- cram: clock
47 O_MEM_CRE : out slbit; -- cram: command register enable
48 I_MEM_WAIT : in slbit; -- cram: mem wait
49 O_MEM_ADDR : out slv23; -- cram: address lines
50 IO_MEM_DATA : inout slv16; -- cram: data lines
51 O_FLA_CE_N : out slbit -- flash ce.. (act.low)
52 );
53end nexys2_dummy;
54
55architecture syn of nexys2_dummy is
56
57begin
58
59 O_TXD <= I_RXD; -- loop back
60
61 CRAM : nx_cram_dummy -- connect CRAM to protection dummy
62 port map (
63 O_MEM_CE_N => O_MEM_CE_N,
64 O_MEM_BE_N => O_MEM_BE_N,
65 O_MEM_WE_N => O_MEM_WE_N,
66 O_MEM_OE_N => O_MEM_OE_N,
67 O_MEM_ADV_N => O_MEM_ADV_N,
68 O_MEM_CLK => O_MEM_CLK,
69 O_MEM_CRE => O_MEM_CRE,
70 I_MEM_WAIT => I_MEM_WAIT,
71 O_MEM_ADDR => O_MEM_ADDR,
72 IO_MEM_DATA => IO_MEM_DATA
73 );
74
75 O_FLA_CE_N <= '1'; -- keep Flash memory disabled
76
77end syn;
in I_CLK50 slbit
out O_TXD slbit
in I_RXD slbit
out O_MEM_WE_N slbit
out O_LED slv8
in I_BTN slv4
out O_MEM_CE_N slbit
out O_SEG_N slv8
in I_MEM_WAIT slbit
out O_MEM_OE_N slbit
out O_MEM_CLK slbit
out O_MEM_ADV_N slbit
out O_MEM_ADDR slv23
out O_MEM_BE_N slv2
inout IO_MEM_DATA slv16
out O_FLA_CE_N slbit
out O_MEM_CRE slbit
in I_SWI slv8
out O_ANO_N slv4
std_logic_vector( 22 downto 0) slv23
Definition: slvtypes.vhd:56
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40
std_logic_vector( 1 downto 0) slv2
Definition: slvtypes.vhd:34