w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
nx_cram_dummy.vhd
Go to the documentation of this file.
1-- $Id: nx_cram_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: nx_cram_dummy - syn
7-- Description: nexys2/3: CRAM protection dummy
8--
9-- Dependencies: -
10-- Test bench: -
11-- Target Devices: generic
12-- Tool versions: ise 11.4-14.7; viv 2014.4; ghdl 0.26-0.31
13-- Revision History:
14-- Date Rev Version Comment
15-- 2011-11-26 433 1.2 renamed from n2_cram_dummy
16-- 2011-11-23 432 1.1 remove O_FLA_CE_N port
17-- 2010-05-28 295 1.0.1 use _ADV_N
18-- 2010-05-21 292 1.0 Initial version
19------------------------------------------------------------------------------
20
21library ieee;
22use ieee.std_logic_1164.all;
23
24use work.slvtypes.all;
25
26entity nx_cram_dummy is -- CRAM protection dummy
27 port (
28 O_MEM_CE_N : out slbit; -- cram: chip enable (act.low)
29 O_MEM_BE_N : out slv2; -- cram: byte enables (act.low)
30 O_MEM_WE_N : out slbit; -- cram: write enable (act.low)
31 O_MEM_OE_N : out slbit; -- cram: output enable (act.low)
32 O_MEM_ADV_N : out slbit; -- cram: address valid (act.low)
33 O_MEM_CLK : out slbit; -- cram: clock
34 O_MEM_CRE : out slbit; -- cram: command register enable
35 I_MEM_WAIT : in slbit; -- cram: mem wait
36 O_MEM_ADDR : out slv23; -- cram: address lines
37 IO_MEM_DATA : inout slv16 -- cram: data lines
38 );
40
41
42architecture syn of nx_cram_dummy is
43begin
44
45 O_MEM_CE_N <= '1'; -- disable cram chip
46 O_MEM_BE_N <= "11";
47 O_MEM_WE_N <= '1';
48 O_MEM_OE_N <= '1';
49 O_MEM_ADV_N <= '1';
50 O_MEM_CLK <= '0';
51 O_MEM_CRE <= '0';
52 O_MEM_ADDR <= (others=>'0');
53 IO_MEM_DATA <= (others=>'0');
54
55end syn;
out O_MEM_WE_N slbit
out O_MEM_CE_N slbit
in I_MEM_WAIT slbit
out O_MEM_OE_N slbit
out O_MEM_CLK slbit
out O_MEM_ADV_N slbit
out O_MEM_ADDR slv23
out O_MEM_BE_N slv2
inout IO_MEM_DATA slv16
out O_MEM_CRE slbit
std_logic_vector( 22 downto 0) slv23
Definition: slvtypes.vhd:56
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 1 downto 0) slv2
Definition: slvtypes.vhd:34