w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
ib_sres_or_4.vhd
Go to the documentation of this file.
1-- $Id: ib_sres_or_4.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: ib_sres_or_4 - syn
7-- Description: ibus: result or, 4 input
8--
9-- Dependencies: -
10-- Test bench: tb/tb_pdp11_core (implicit)
11-- Target Devices: generic
12-- Tool versions: ise 8.1-14.7; viv 2014.4; ghdl 0.18-0.31
13--
14-- Revision History:
15-- Date Rev Version Comment
16-- 2010-10-23 335 1.1 add ib_sres_or_mon
17-- 2008-08-22 161 1.0.2 renamed pdp11_ibres_ -> ib_sres_; use iblib
18-- 2008-01-05 110 1.0.1 rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
19-- 2007-12-29 107 1.0 Initial version
20------------------------------------------------------------------------------
21
22library ieee;
23use ieee.std_logic_1164.all;
24
25use work.slvtypes.all;
26use work.iblib.all;
27
28-- ----------------------------------------------------------------------------
29
30entity ib_sres_or_4 is -- ibus result or, 4 input
31 port (
32 IB_SRES_1 : in ib_sres_type; -- ib_sres input 1
33 IB_SRES_2 : in ib_sres_type := ib_sres_init; -- ib_sres input 2
34 IB_SRES_3 : in ib_sres_type := ib_sres_init; -- ib_sres input 3
35 IB_SRES_4 : in ib_sres_type := ib_sres_init; -- ib_sres input 4
36 IB_SRES_OR : out ib_sres_type -- ib_sres or'ed output
37 );
38end ib_sres_or_4;
39
40architecture syn of ib_sres_or_4 is
41
42begin
43
44 proc_comb : process (IB_SRES_1, IB_SRES_2, IB_SRES_3, IB_SRES_4)
45 begin
46
47 IB_SRES_OR.ack <= IB_SRES_1.ack or
48 IB_SRES_2.ack or
49 IB_SRES_3.ack or
50 IB_SRES_4.ack;
51 IB_SRES_OR.busy <= IB_SRES_1.busy or
52 IB_SRES_2.busy or
53 IB_SRES_3.busy or
54 IB_SRES_4.busy;
55 IB_SRES_OR.dout <= IB_SRES_1.dout or
56 IB_SRES_2.dout or
57 IB_SRES_3.dout or
58 IB_SRES_4.dout;
59
60 end process proc_comb;
61
62-- synthesis translate_off
63 ORMON : ib_sres_or_mon
64 port map (
69 );
70-- synthesis translate_on
71
72end syn;
in IB_SRES_2 ib_sres_type := ib_sres_init
in IB_SRES_3 ib_sres_type := ib_sres_init
out IB_SRES_OR ib_sres_type
in IB_SRES_4 ib_sres_type := ib_sres_init
in IB_SRES_1 ib_sres_type
in IB_SRES_2 ib_sres_type
in IB_SRES_3 ib_sres_type := ib_sres_init
in IB_SRES_4 ib_sres_type := ib_sres_init
in IB_SRES_1 ib_sres_type
Definition: iblib.vhd:33