w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sim Architecture Reference
Architecture >> sim

Processes

proc_moni 
proc_simbus  ( SB_VAL )

Constants

sbaddr_portsel  slv8 := slv ( to_unsigned ( 8 , 8 ) )
clock_period  Delay_length := 10 ns
clock_offset  Delay_length := 200 ns

Signals

CLKOSC  slbit := ' 0 '
CLKCOM  slbit := ' 0 '
CLKCOM_CYCLE  integer := 0
RESET  slbit := ' 0 '
CLKDIV  slv2 := " 00 "
RXDATA  slv8 := ( others = > ' 0 ' )
RXVAL  slbit := ' 0 '
RXERR  slbit := ' 0 '
RXACT  slbit := ' 0 '
TXDATA  slv8 := ( others = > ' 0 ' )
TXENA  slbit := ' 0 '
TXBUSY  slbit := ' 0 '
I_RXD  slbit := ' 1 '
O_TXD  slbit := ' 1 '
O_RTS_N  slbit := ' 0 '
I_CTS_N  slbit := ' 0 '
I_SWI  slv16 := ( others = > ' 0 ' )
I_BTN  slv5 := ( others = > ' 0 ' )
I_BTNRST_N  slbit := ' 1 '
O_LED  slv16 := ( others = > ' 0 ' )
O_RGBLED0  slv3 := ( others = > ' 0 ' )
O_RGBLED1  slv3 := ( others = > ' 0 ' )
O_ANO_N  slv8 := ( others = > ' 0 ' )
O_SEG_N  slv8 := ( others = > ' 0 ' )
R_PORTSEL_XON  slbit := ' 0 '

Instantiations

clkgen  simclk <Entity simclk>
clkgen_com  sfs_gsim_core <Entity sfs_gsim_core>
clkcnt  simclkcnt <Entity simclkcnt>
tbcore  tbcore_rlink <Entity tbcore_rlink>
n4core  tb_nexys4d_core <Entity tb_nexys4d_core>
uut  nexys4d_aif
sermstr  serport_master_tb <Entity serport_master_tb>

Detailed Description

Definition at line 45 of file tb_nexys4d.vhd.

Member Function/Procedure/Process Documentation

◆ proc_moni()

proc_moni

Definition at line 161 of file tb_nexys4d.vhd.

◆ proc_simbus()

proc_simbus (   SB_VAL  
)
Process

Definition at line 177 of file tb_nexys4d.vhd.

Member Data Documentation

◆ CLKOSC

CLKOSC slbit := ' 0 '
Signal

Definition at line 47 of file tb_nexys4d.vhd.

◆ CLKCOM

CLKCOM slbit := ' 0 '
Signal

Definition at line 48 of file tb_nexys4d.vhd.

◆ CLKCOM_CYCLE

CLKCOM_CYCLE integer := 0
Signal

Definition at line 50 of file tb_nexys4d.vhd.

◆ RESET

RESET slbit := ' 0 '
Signal

Definition at line 52 of file tb_nexys4d.vhd.

◆ CLKDIV

CLKDIV slv2 := " 00 "
Signal

Definition at line 53 of file tb_nexys4d.vhd.

◆ RXDATA

RXDATA slv8 := ( others = > ' 0 ' )
Signal

Definition at line 54 of file tb_nexys4d.vhd.

◆ RXVAL

RXVAL slbit := ' 0 '
Signal

Definition at line 55 of file tb_nexys4d.vhd.

◆ RXERR

RXERR slbit := ' 0 '
Signal

Definition at line 56 of file tb_nexys4d.vhd.

◆ RXACT

RXACT slbit := ' 0 '
Signal

Definition at line 57 of file tb_nexys4d.vhd.

◆ TXDATA

TXDATA slv8 := ( others = > ' 0 ' )
Signal

Definition at line 58 of file tb_nexys4d.vhd.

◆ TXENA

TXENA slbit := ' 0 '
Signal

Definition at line 59 of file tb_nexys4d.vhd.

◆ TXBUSY

TXBUSY slbit := ' 0 '
Signal

Definition at line 60 of file tb_nexys4d.vhd.

◆ I_RXD

I_RXD slbit := ' 1 '
Signal

Definition at line 62 of file tb_nexys4d.vhd.

◆ O_TXD

O_TXD slbit := ' 1 '
Signal

Definition at line 63 of file tb_nexys4d.vhd.

◆ O_RTS_N

O_RTS_N slbit := ' 0 '
Signal

Definition at line 64 of file tb_nexys4d.vhd.

◆ I_CTS_N

I_CTS_N slbit := ' 0 '
Signal

Definition at line 65 of file tb_nexys4d.vhd.

◆ I_SWI

I_SWI slv16 := ( others = > ' 0 ' )
Signal

Definition at line 66 of file tb_nexys4d.vhd.

◆ I_BTN

I_BTN slv5 := ( others = > ' 0 ' )
Signal

Definition at line 67 of file tb_nexys4d.vhd.

◆ I_BTNRST_N

I_BTNRST_N slbit := ' 1 '
Signal

Definition at line 68 of file tb_nexys4d.vhd.

◆ O_LED

O_LED slv16 := ( others = > ' 0 ' )
Signal

Definition at line 69 of file tb_nexys4d.vhd.

◆ O_RGBLED0

O_RGBLED0 slv3 := ( others = > ' 0 ' )
Signal

Definition at line 70 of file tb_nexys4d.vhd.

◆ O_RGBLED1

O_RGBLED1 slv3 := ( others = > ' 0 ' )
Signal

Definition at line 71 of file tb_nexys4d.vhd.

◆ O_ANO_N

O_ANO_N slv8 := ( others = > ' 0 ' )
Signal

Definition at line 72 of file tb_nexys4d.vhd.

◆ O_SEG_N

O_SEG_N slv8 := ( others = > ' 0 ' )
Signal

Definition at line 73 of file tb_nexys4d.vhd.

◆ R_PORTSEL_XON

R_PORTSEL_XON slbit := ' 0 '
Signal

Definition at line 75 of file tb_nexys4d.vhd.

◆ sbaddr_portsel

sbaddr_portsel slv8 := slv ( to_unsigned ( 8 , 8 ) )
Constant

Definition at line 77 of file tb_nexys4d.vhd.

◆ clock_period

clock_period Delay_length := 10 ns
Constant

Definition at line 79 of file tb_nexys4d.vhd.

◆ clock_offset

clock_offset Delay_length := 200 ns
Constant

Definition at line 80 of file tb_nexys4d.vhd.

◆ clkgen

clkgen simclk
Instantiation

Definition at line 90 of file tb_nexys4d.vhd.

◆ clkgen_com

clkgen_com sfs_gsim_core
Instantiation

Definition at line 101 of file tb_nexys4d.vhd.

◆ clkcnt

clkcnt simclkcnt
Instantiation

Definition at line 103 of file tb_nexys4d.vhd.

◆ tbcore

tbcore tbcore_rlink
Instantiation

Definition at line 113 of file tb_nexys4d.vhd.

◆ n4core

n4core tb_nexys4d_core
Instantiation

Definition at line 120 of file tb_nexys4d.vhd.

◆ uut

uut nexys4d_aif
Instantiation

Definition at line 137 of file tb_nexys4d.vhd.

◆ sermstr

sermstr serport_master_tb
Instantiation

Definition at line 159 of file tb_nexys4d.vhd.


The documentation for this design unit was generated from the following file: