w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
simclk Entity Reference
Inheritance diagram for simclk:
[legend]

Entities

sim  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>

Generics

PERIOD  Delay_length := 20 ns
OFFSET  Delay_length := 200 ns

Ports

CLK   out   slbit
CLK_STOP   in   slbit := ' 0 '

Detailed Description

Definition at line 28 of file simclk.vhd.

Member Data Documentation

◆ PERIOD

PERIOD Delay_length := 20 ns
Generic

Definition at line 30 of file simclk.vhd.

◆ OFFSET

OFFSET Delay_length := 200 ns
Generic

Definition at line 31 of file simclk.vhd.

◆ CLK

CLK out slbit
Port

Definition at line 33 of file simclk.vhd.

◆ CLK_STOP

CLK_STOP in slbit := ' 0 '
Port

Definition at line 35 of file simclk.vhd.

◆ ieee

ieee
Library

Definition at line 24 of file simclk.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 25 of file simclk.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 26 of file simclk.vhd.


The documentation for this design unit was generated from the following file: