w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sim Architecture Reference
Architecture >> sim

Processes

proc_stim 
proc_monc 
proc_monb 

Constants

clk_dsc  clock_dsc := ( 20 ns , 1 ns , 1 ns )
clk_offset  Delay_length := 200 ns

Signals

CLK  slbit := ' 0 '
RESET  slbit := ' 0 '
CLK_STOP  slbit := ' 0 '
CLK_CYCLE  integer := 0
C2B_ESCXON  slbit := ' 0 '
C2B_ESCFILL  slbit := ' 0 '
C2B_DI  slv9 := ( others = > ' 0 ' )
C2B_ENA  slbit := ' 0 '
C2B_BUSY  slbit := ' 0 '
C2B_DO  slv8 := ( others = > ' 0 ' )
C2B_VAL  slbit := ' 0 '
B2C_BUSY  slbit := ' 0 '
B2C_DO  slv9 := ( others = > ' 0 ' )
B2C_VAL  slbit := ' 0 '
B2C_HOLD  slbit := ' 0 '

Shared Variables

sv_sff_monc_cnt  shared natural := := 0
sv_sff_monc_arr  shared simfifo_type ( 0 to 7 , 7 downto 0 )
sv_sff_monb_cnt  shared natural := := 0
sv_sff_monb_arr  shared simfifo_type ( 0 to 7 , 8 downto 0 )

Instantiations

clkgen  simclk <Entity simclk>
clkcnt  simclkcnt <Entity simclkcnt>
uut  tbd_cdata2byte <Entity tbd_cdata2byte>

Detailed Description

Definition at line 43 of file tb_cdata2byte.vhd.

Member Function/Procedure/Process Documentation

◆ proc_stim()

proc_stim

Definition at line 102 of file tb_cdata2byte.vhd.

◆ proc_monc()

proc_monc ( )
Process

Definition at line 216 of file tb_cdata2byte.vhd.

◆ proc_monb()

proc_monb ( )
Process

Definition at line 243 of file tb_cdata2byte.vhd.

Member Data Documentation

◆ clk_dsc

clk_dsc clock_dsc := ( 20 ns , 1 ns , 1 ns )
Constant

Definition at line 45 of file tb_cdata2byte.vhd.

◆ clk_offset

clk_offset Delay_length := 200 ns
Constant

Definition at line 46 of file tb_cdata2byte.vhd.

◆ CLK

CLK slbit := ' 0 '
Signal

Definition at line 48 of file tb_cdata2byte.vhd.

◆ RESET

RESET slbit := ' 0 '
Signal

Definition at line 49 of file tb_cdata2byte.vhd.

◆ CLK_STOP

CLK_STOP slbit := ' 0 '
Signal

Definition at line 51 of file tb_cdata2byte.vhd.

◆ CLK_CYCLE

CLK_CYCLE integer := 0
Signal

Definition at line 52 of file tb_cdata2byte.vhd.

◆ C2B_ESCXON

C2B_ESCXON slbit := ' 0 '
Signal

Definition at line 54 of file tb_cdata2byte.vhd.

◆ C2B_ESCFILL

C2B_ESCFILL slbit := ' 0 '
Signal

Definition at line 55 of file tb_cdata2byte.vhd.

◆ C2B_DI

C2B_DI slv9 := ( others = > ' 0 ' )
Signal

Definition at line 56 of file tb_cdata2byte.vhd.

◆ C2B_ENA

C2B_ENA slbit := ' 0 '
Signal

Definition at line 57 of file tb_cdata2byte.vhd.

◆ C2B_BUSY

C2B_BUSY slbit := ' 0 '
Signal

Definition at line 58 of file tb_cdata2byte.vhd.

◆ C2B_DO

C2B_DO slv8 := ( others = > ' 0 ' )
Signal

Definition at line 59 of file tb_cdata2byte.vhd.

◆ C2B_VAL

C2B_VAL slbit := ' 0 '
Signal

Definition at line 60 of file tb_cdata2byte.vhd.

◆ B2C_BUSY

B2C_BUSY slbit := ' 0 '
Signal

Definition at line 62 of file tb_cdata2byte.vhd.

◆ B2C_DO

B2C_DO slv9 := ( others = > ' 0 ' )
Signal

Definition at line 63 of file tb_cdata2byte.vhd.

◆ B2C_VAL

B2C_VAL slbit := ' 0 '
Signal

Definition at line 64 of file tb_cdata2byte.vhd.

◆ B2C_HOLD

B2C_HOLD slbit := ' 0 '
Signal

Definition at line 65 of file tb_cdata2byte.vhd.

◆ sv_sff_monc_cnt

sv_sff_monc_cnt shared natural := := 0
Shared Variable

Definition at line 67 of file tb_cdata2byte.vhd.

◆ sv_sff_monc_arr

sv_sff_monc_arr shared simfifo_type ( 0 to 7 , 7 downto 0 )
Shared Variable

Definition at line 68 of file tb_cdata2byte.vhd.

◆ sv_sff_monb_cnt

sv_sff_monb_cnt shared natural := := 0
Shared Variable

Definition at line 69 of file tb_cdata2byte.vhd.

◆ sv_sff_monb_arr

sv_sff_monb_arr shared simfifo_type ( 0 to 7 , 8 downto 0 )
Shared Variable

Definition at line 70 of file tb_cdata2byte.vhd.

◆ clkgen

clkgen simclk
Instantiation

Definition at line 81 of file tb_cdata2byte.vhd.

◆ clkcnt

clkcnt simclkcnt
Instantiation

Definition at line 83 of file tb_cdata2byte.vhd.

◆ uut

uut tbd_cdata2byte
Instantiation

Definition at line 100 of file tb_cdata2byte.vhd.


The documentation for this design unit was generated from the following file: