w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
cdc_pulse Entity Reference
Inheritance diagram for cdc_pulse:
[legend]

Entities

syn  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
slvtypes  Package <slvtypes>

Generics

POUT_SINGLE  boolean := false
BUSY_WACK  boolean := false
INIT  slbit := ' 0 '

Ports

CLKM   in   slbit
RESET   in   slbit := ' 0 '
CLKS   in   slbit
PIN   in   slbit
BUSY   out   slbit
POUT   out   slbit

Detailed Description

Definition at line 26 of file cdc_pulse.vhd.

Member Data Documentation

◆ POUT_SINGLE

POUT_SINGLE boolean := false
Generic

Definition at line 28 of file cdc_pulse.vhd.

◆ BUSY_WACK

BUSY_WACK boolean := false
Generic

Definition at line 29 of file cdc_pulse.vhd.

◆ INIT

INIT slbit := ' 0 '
Generic

Definition at line 30 of file cdc_pulse.vhd.

◆ CLKM

CLKM in slbit
Port

Definition at line 32 of file cdc_pulse.vhd.

◆ RESET

RESET in slbit := ' 0 '
Port

Definition at line 33 of file cdc_pulse.vhd.

◆ CLKS

CLKS in slbit
Port

Definition at line 34 of file cdc_pulse.vhd.

◆ PIN

PIN in slbit
Port

Definition at line 35 of file cdc_pulse.vhd.

◆ BUSY

BUSY out slbit
Port

Definition at line 36 of file cdc_pulse.vhd.

◆ POUT

POUT out slbit
Port

Definition at line 38 of file cdc_pulse.vhd.

◆ ieee

ieee
Library

Definition at line 21 of file cdc_pulse.vhd.

◆ std_logic_1164

std_logic_1164
use clause

Definition at line 22 of file cdc_pulse.vhd.

◆ slvtypes

slvtypes
use clause

Definition at line 24 of file cdc_pulse.vhd.


The documentation for this design unit was generated from the following file: