w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
tbd_serport_uart_rx.vhd
Go to the documentation of this file.
1-- $Id: tbd_serport_uart_rx.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: tbd_serport_uart_rx - syn
7-- Description: Wrapper for serport_uart_rx to avoid records. It
8-- has a port interface which will not be modified by xst
9-- synthesis (no records, no generic port).
10--
11-- Dependencies: serport_uart_rx
12--
13-- To test: serport_uart_rx
14--
15-- Target Devices: generic
16--
17-- Synthesized (xst):
18-- Date Rev ise Target flop lutl lutm slic t peri
19-- 2007-10-27 92 9.2.02 J39 xc3s1000-4 26 67 0 - t 8.17
20-- 2007-10-27 92 9.1 J30 xc3s1000-4 26 67 0 - t 8.25
21-- 2007-10-27 92 8.2.03 I34 xc3s1000-4 29 90 0 47 s 8.45
22-- 2007-10-27 92 8.1.03 I27 xc3s1000-4 31 92 0 - s 8.25
23--
24-- Tool versions: xst 8.2-14.7; ghdl 0.18-0.31
25-- Revision History:
26-- Date Rev Version Comment
27-- 2007-10-21 91 1.0 Initial version
28------------------------------------------------------------------------------
29
30library ieee;
31use ieee.std_logic_1164.all;
32use ieee.numeric_std.all;
33
34use work.slvtypes.all;
35use work.serportlib.all;
36
37entity tbd_serport_uart_rx is -- serial port uart rx [tb design]
38 -- generic: CDWIDTH=5
39 port (
40 CLK : in slbit; -- clock
41 RESET : in slbit; -- reset
42 CLKDIV : in slv5; -- clock divider setting
43 RXSD : in slbit; -- receive serial data (uart view)
44 RXDATA : out slv8; -- receiver data out
45 RXVAL : out slbit; -- receiver data valid
46 RXERR : out slbit; -- receiver data error (frame error)
47 RXACT : out slbit -- receiver active
48 );
50
51
52architecture syn of tbd_serport_uart_rx is
53
54begin
55
56 UART : serport_uart_rx
57 generic map (
58 CDWIDTH => 5)
59 port map (
60 CLK => CLK,
61 RESET => RESET,
62 CLKDIV => CLKDIV,
63 RXSD => RXSD,
64 RXDATA => RXDATA,
65 RXVAL => RXVAL,
66 RXERR => RXERR,
67 RXACT => RXACT
68 );
69
70end syn;
CDWIDTH positive := 13
in CLKDIV slv( CDWIDTH- 1 downto 0)
std_logic_vector( 4 downto 0) slv5
Definition: slvtypes.vhd:37
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector( 7 downto 0) slv8
Definition: slvtypes.vhd:40