w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
tbd_fifo_simple_dram.vhd
Go to the documentation of this file.
1-- $Id: tbd_fifo_simple_dram.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2019- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: tbd_fifo_simple_dram - syn
7-- Description: Wrapper for fifo_simple_dram to avoid records & generics. It
8-- has a port interface which will not be modified by xst
9-- synthesis (no records, no generic port).
10--
11-- Dependencies: fifo_simple_dram
12--
13-- To test: fifo_simple_dram
14--
15-- Target Devices: generic
16--
17-- Tool versions: xst 14.7; viv 2017.2; ghdl 0.35
18-- Revision History:
19-- Date Rev Version Comment
20-- 2019-02-09 1109 1.0 Initial version
21------------------------------------------------------------------------------
22
23library ieee;
24use ieee.std_logic_1164.all;
25
26use work.slvtypes.all;
27use work.memlib.all;
28
29entity tbd_fifo_simple_dram is -- fifo, CE/WE, dram based [tb design]
30 -- generic: AWIDTH=4; DWIDTH=16
31 port (
32 CLK : in slbit; -- clock
33 RESET : in slbit; -- reset
34 CE : in slbit; -- clock enable
35 WE : in slbit; -- write enable
36 DI : in slv16; -- input data
37 DO : out slv16; -- output data
38 EMPTY : out slbit; -- fifo empty status
39 FULL : out slbit; -- fifo full status
40 SIZE : out slv4 -- number of used slots
41 );
43
44
45architecture syn of tbd_fifo_simple_dram is
46
47begin
48
49 FIFO : fifo_simple_dram
50 generic map (
51 AWIDTH => 4,
52 DWIDTH => 16)
53 port map (
54 CLK => CLK,
55 RESET => RESET,
56 CE => CE,
57 WE => WE,
58 DI => DI,
59 DO => DO,
60 EMPTY => EMPTY,
61 FULL => FULL,
62 SIZE => SIZE
63 );
64
65end syn;
out DO slv( DWIDTH- 1 downto 0)
in DI slv( DWIDTH- 1 downto 0)
AWIDTH positive := 6
out SIZE slv( AWIDTH- 1 downto 0)
DWIDTH positive := 16
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30