w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
tbd_fifo_2c_dram.vhd
Go to the documentation of this file.
1-- $Id: tbd_fifo_2c_dram.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: tbd_fifo_2c_dram - syn
7-- Description: Wrapper for fifo_2c_dram to avoid records & generics. It
8-- has a port interface which will not be modified by xst
9-- synthesis (no records, no generic port).
10--
11-- Dependencies: fifo_2c_dram
12--
13-- To test: fifo_2c_dram
14--
15-- Target Devices: generic
16--
17-- Synthesized (xst):
18-- Date Rev ise Target flop lutl lutm slic t peri
19-- 2010-04-24 281 11.4 L68 xc3s1000-4 36 43 32 52 s 8.34
20--
21-- Tool versions: xst 8.1, 8.2, 9.1, 9.2, 11.4; ghdl 0.18-0.26
22-- Revision History:
23-- Date Rev Version Comment
24-- 2007-12-28 106 1.0 Initial version
25------------------------------------------------------------------------------
26
27library ieee;
28use ieee.std_logic_1164.all;
29
30use work.slvtypes.all;
31use work.memlib.all;
32
33entity tbd_fifo_2c_dram is -- fifo, 2 clock, dram based [tb design]
34 -- generic: AWIDTH=4; DWIDTH=16
35 port (
36 CLKW : in slbit; -- clock (write side)
37 CLKR : in slbit; -- clock (read side)
38 RESETW : in slbit; -- reset (synchronous with CLKW)
39 RESETR : in slbit; -- reset (synchronous with CLKR)
40 DI : in slv16; -- input data
41 ENA : in slbit; -- write enable
42 BUSY : out slbit; -- write port hold
43 DO : out slv16; -- output data
44 VAL : out slbit; -- read valid
45 HOLD : in slbit; -- read hold
46 SIZEW : out slv4; -- number slots to write (synch w/ CLKW)
47 SIZER : out slv4 -- number slots to read (synch w/ CLKR)
48 );
50
51
52architecture syn of tbd_fifo_2c_dram is
53
54begin
55
56 FIFO : fifo_2c_dram
57 generic map (
58 AWIDTH => 4,
59 DWIDTH => 16)
60 port map (
61 CLKW => CLKW,
62 CLKR => CLKR,
63 RESETW => RESETW,
64 RESETR => RESETR,
65 DI => DI,
66 ENA => ENA,
67 BUSY => BUSY,
68 DO => DO,
69 VAL => VAL,
70 HOLD => HOLD,
71 SIZEW => SIZEW,
72 SIZER => SIZER
73 );
74
75end syn;
in ENA slbit
out DO slv( DWIDTH- 1 downto 0)
in DI slv( DWIDTH- 1 downto 0)
out BUSY slbit
in HOLD slbit
in CLKW slbit
AWIDTH positive := 5
in CLKR slbit
out SIZER slv( AWIDTH- 1 downto 0)
out VAL slbit
in RESETR slbit
out SIZEW slv( AWIDTH- 1 downto 0)
DWIDTH positive := 16
in RESETW slbit
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30