w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
tbd_fifo_2c_dram2.vhd
Go to the documentation of this file.
1-- $Id: tbd_fifo_2c_dram2.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2016- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: tbd_fifo_2c_dram2 - syn
7-- Description: Wrapper for fifo_2c_dram2 to avoid records & generics. It
8-- has a port interface which will not be modified by synthesis
9-- (no records, no generic port).
10--
11-- Dependencies: fifo_2c_dram2
12--
13-- To test: fifo_2c_dram2
14--
15-- Target Devices: generic
16--
17-- Tool versions: viv 2015.4; ghdl 0.33
18-- Revision History:
19-- Date Rev Version Comment
20-- 2007-12-28 106 1.0 Initial version (tbd_fifo_2c_dram2)
21------------------------------------------------------------------------------
22
23library ieee;
24use ieee.std_logic_1164.all;
25
26use work.slvtypes.all;
27use work.memlib.all;
28
29entity tbd_fifo_2c_dram2 is -- fifo, 2 clock, dram based [tb design]
30 -- generic: AWIDTH=4; DWIDTH=16
31 port (
32 CLKW : in slbit; -- clock (write side)
33 CLKR : in slbit; -- clock (read side)
34 RESETW : in slbit; -- reset (synchronous with CLKW)
35 RESETR : in slbit; -- reset (synchronous with CLKR)
36 DI : in slv16; -- input data
37 ENA : in slbit; -- write enable
38 BUSY : out slbit; -- write port hold
39 DO : out slv16; -- output data
40 VAL : out slbit; -- read valid
41 HOLD : in slbit; -- read hold
42 SIZEW : out slv4; -- number slots to write (synch w/ CLKW)
43 SIZER : out slv4 -- number slots to read (synch w/ CLKR)
44 );
46
47
48architecture syn of tbd_fifo_2c_dram2 is
49
50begin
51
52 FIFO : fifo_2c_dram2
53 generic map (
54 AWIDTH => 4,
55 DWIDTH => 16)
56 port map (
57 CLKW => CLKW,
58 CLKR => CLKR,
59 RESETW => RESETW,
60 RESETR => RESETR,
61 DI => DI,
62 ENA => ENA,
63 BUSY => BUSY,
64 DO => DO,
65 VAL => VAL,
66 HOLD => HOLD,
67 SIZEW => SIZEW,
68 SIZER => SIZER
69 );
70
71end syn;
in ENA slbit
out DO slv( DWIDTH- 1 downto 0)
in DI slv( DWIDTH- 1 downto 0)
out BUSY slbit
in HOLD slbit
in CLKW slbit
AWIDTH positive := 5
in CLKR slbit
out SIZER slv( AWIDTH- 1 downto 0)
out VAL slbit
in RESETR slbit
out SIZEW slv( AWIDTH- 1 downto 0)
DWIDTH positive := 16
in RESETW slbit
std_logic_vector( 3 downto 0) slv4
Definition: slvtypes.vhd:36
std_logic_vector( 15 downto 0) slv16
Definition: slvtypes.vhd:48
std_logic slbit
Definition: slvtypes.vhd:30