w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
sys_conf_sim.vhd
Go to the documentation of this file.
1-- $Id: sys_conf_sim.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2007-2019 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Package Name: sys_conf
7-- Description: Definitions for sys_w11a_s3 (for simulation)
8--
9-- Dependencies: -
10-- Tool versions: xst 8.1-14.7; ghdl 0.18-0.35
11-- Revision History:
12-- Date Rev Version Comment
13-- 2019-04-28 1142 1.4.1 add sys_conf_ibd_m9312
14-- 2019-02-09 1110 1.4 use typ for DL,PC,LP; add dz11,ibtst
15-- 2019-01-27 1108 1.3.6 drop iist
16-- 2018-09-22 1050 1.3.5 add sys_conf_dmpcnt
17-- 2018-09-08 1043 1.3.4 add sys_conf_ibd_kw11p
18-- 2017-04-22 884 1.3.3 use sys_conf_dmcmon_awidth=8 (proper value)
19-- 2017-01-29 847 1.3.2 add sys_conf_ibd_deuna
20-- 2016-05-28 770 1.3.1 sys_conf_mem_losize now type natural
21-- 2016-03-22 750 1.3 add sys_conf_cache_twidth
22-- 2015-06-26 695 1.2.1 add sys_conf_(dmscnt|dmhbpt*|dmcmon*)
23-- 2015-03-14 658 1.2 add sys_conf_ibd_* definitions
24-- 2014-12-22 619 1.1.2 add _rbmon_awidth
25-- 2010-05-05 288 1.1.1 add sys_conf_hio_debounce
26-- 2008-02-23 118 1.1 add memory config
27-- 2007-09-23 84 1.0 Initial version
28------------------------------------------------------------------------------
29
30library ieee;
31use ieee.std_logic_1164.all;
32
33use work.slvtypes.all;
34
35package sys_conf is
36
37 -- configure rlink and hio interfaces --------------------------------------
38 constant sys_conf_ser2rri_cdinit : integer := 1-1; -- 1 cycle/bit in sim
39 constant sys_conf_hio_debounce : boolean := false; -- no debouncers
40
41 -- configure debug and monitoring units ------------------------------------
42 constant sys_conf_rbmon_awidth : integer := 9; -- use 0 to disable
43 constant sys_conf_ibmon_awidth : integer := 9; -- use 0 to disable
44 constant sys_conf_ibtst : boolean := true;
45 constant sys_conf_dmscnt : boolean := true;
46 constant sys_conf_dmpcnt : boolean := true;
47 constant sys_conf_dmhbpt_nunit : integer := 2; -- use 0 to disable
48 constant sys_conf_dmcmon_awidth : integer := 8; -- use 0 to disable
49
50 -- configure w11 cpu core --------------------------------------------------
51 constant sys_conf_bram : integer := 0; -- no bram, use cache
52 constant sys_conf_bram_awidth : integer := 14; -- bram size (16 kB)
53 constant sys_conf_mem_losize : natural := 8#037777#; -- 1 MByte
54--constant sys_conf_mem_losize : natural := 8#003777#; -- 128 kByte (debug)
55
56-- constant sys_conf_bram : integer := 1; -- bram only
57-- constant sys_conf_bram_awidth : integer := 16; -- bram size (64 kB)
58-- constant sys_conf_mem_losize : natural := 8#001777#; -- 64 kByte
59
60 constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled
61 constant sys_conf_cache_twidth : integer := 9; -- 8kB cache
62
63 -- configure w11 system devices --------------------------------------------
64 -- configure character and communication devices
65 -- typ for DL,DZ,PC,LP: -1->none; 0->unbuffered; 4-7 buffered (typ=AWIDTH)
66 constant sys_conf_ibd_dl11_0 : integer := 4; -- 1st DL11
67 constant sys_conf_ibd_dl11_1 : integer := 4; -- 2nd DL11
68 constant sys_conf_ibd_dz11 : integer := 5; -- DZ11
69 constant sys_conf_ibd_pc11 : integer := 4; -- PC11
70 constant sys_conf_ibd_lp11 : integer := 5; -- LP11
71 constant sys_conf_ibd_deuna : boolean := true; -- DEUNA
72
73 -- configure mass storage devices
74 constant sys_conf_ibd_rk11 : boolean := true; -- RK11
75 constant sys_conf_ibd_rl11 : boolean := true; -- RL11
76 constant sys_conf_ibd_rhrp : boolean := true; -- RHRP
77 constant sys_conf_ibd_tm11 : boolean := true; -- TM11
78
79 -- configure other devices
80 constant sys_conf_ibd_iist : boolean := false; -- IIST
81 constant sys_conf_ibd_kw11p : boolean := true; -- KW11P
82 constant sys_conf_ibd_m9312 : boolean := true; -- M9312
83
84end package sys_conf;
85
std_logic slbit
Definition: slvtypes.vhd:30
boolean := true sys_conf_dmpcnt
Definition: sys_conf.vhd:49
boolean := true sys_conf_ibd_kw11p
Definition: sys_conf.vhd:44
integer := 5 sys_conf_ibd_dz11
Definition: sys_conf.vhd:31
integer := 0 sys_conf_bram
slbit := '0' sys_conf_cache_fmiss
Definition: sys_conf.vhd:55
integer :=( sys_conf_clkser/ sys_conf_ser2rri_defbaud)- 1 sys_conf_ser2rri_cdinit
Definition: sys_conf.vhd:48
integer := 9 sys_conf_ibmon_awidth
Definition: sys_conf.vhd:46
boolean := true sys_conf_ibd_rl11
Definition: sys_conf.vhd:38
boolean := true sys_conf_ibd_iist
Definition: sys_conf.vhd:43
integer := 4 sys_conf_ibd_pc11
Definition: sys_conf.vhd:32
integer := 5 sys_conf_ibd_lp11
Definition: sys_conf.vhd:33
boolean := true sys_conf_ibd_tm11
Definition: sys_conf.vhd:40
boolean := true sys_conf_hio_debounce
Definition: sys_conf.vhd:33
natural := 8#167777# sys_conf_mem_losize
Definition: sys_conf.vhd:54
boolean := true sys_conf_ibd_rk11
Definition: sys_conf.vhd:37
integer := 8 sys_conf_dmcmon_awidth
Definition: sys_conf.vhd:51
integer := 14 sys_conf_bram_awidth
boolean := false sys_conf_dmscnt
Definition: sys_conf.vhd:48
integer := 9 sys_conf_rbmon_awidth
Definition: sys_conf.vhd:45
integer := 4 sys_conf_ibd_dl11_0
Definition: sys_conf.vhd:29
integer := 7 sys_conf_cache_twidth
Definition: sys_conf.vhd:56
boolean := true sys_conf_ibtst
Definition: sys_conf.vhd:47
boolean := true sys_conf_ibd_deuna
Definition: sys_conf.vhd:34
integer := 4 sys_conf_ibd_dl11_1
Definition: sys_conf.vhd:30
integer := 2 sys_conf_dmhbpt_nunit
Definition: sys_conf.vhd:50
boolean := true sys_conf_ibd_rhrp
Definition: sys_conf.vhd:39
boolean := true sys_conf_ibd_m9312
Definition: sys_conf.vhd:45