w11 - vhd 0.794
W11 CPU core and support modules
Loading...
Searching...
No Matches
ram_1swsr_wfirst_gen_unisim.vhd
Go to the documentation of this file.
1-- $Id: ram_1swsr_wfirst_gen_unisim.vhd 1181 2019-07-08 17:00:50Z mueller $
2-- SPDX-License-Identifier: GPL-3.0-or-later
3-- Copyright 2008- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
4--
5------------------------------------------------------------------------------
6-- Module Name: ram_1swsr_wfirst_gen - syn
7-- Description: Single-Port RAM with with one synchronous read/write port
8-- and 'read-through' semantics (as block RAM).
9-- Direct instantiation of Xilinx UNISIM primitives
10--
11-- Dependencies: -
12-- Test bench: -
13-- Target Devices: Spartan-3, Virtex-2,-4
14-- Tool versions: xst 8.1-14.7; ghdl 0.18-0.31
15-- Revision History:
16-- Date Rev Version Comment
17-- 2008-03-08 123 1.0 Initial version
18------------------------------------------------------------------------------
19
20library ieee;
21use ieee.std_logic_1164.all;
22
23library unisim;
24use unisim.vcomponents.ALL;
25
26use work.slvtypes.all;
27use work.memlib.all;
28
29entity ram_1swsr_wfirst_gen is -- RAM, 1 sync r/w port, write first
30 generic (
31 AWIDTH : positive := 11; -- address port width
32 DWIDTH : positive := 9); -- data port width
33 port(
34 CLK : in slbit; -- clock
35 EN : in slbit; -- enable
36 WE : in slbit; -- write enable
37 ADDR : in slv(AWIDTH-1 downto 0); -- address
38 DI : in slv(DWIDTH-1 downto 0); -- data in
39 DO : out slv(DWIDTH-1 downto 0) -- data out
40 );
42
43
44architecture syn of ram_1swsr_wfirst_gen is
45begin
46
48 generic map (
49 AWIDTH => AWIDTH,
50 DWIDTH => DWIDTH,
51 WRITE_MODE => "WRITE_FIRST")
52 port map (
53 CLK => CLK,
54 EN => EN,
55 WE => WE,
56 ADDR => ADDR,
57 DI => DI,
58 DO => DO
59 );
60
61end syn;
in ADDR slv( AWIDTH- 1 downto 0)
out DO slv( DWIDTH- 1 downto 0)
in DI slv( DWIDTH- 1 downto 0)
in ADDR slv( AWIDTH- 1 downto 0)
out DO slv( DWIDTH- 1 downto 0)
in DI slv( DWIDTH- 1 downto 0)
std_logic slbit
Definition: slvtypes.vhd:30
std_logic_vector slv
Definition: slvtypes.vhd:31